[IC design and verification]

What is Integrated Circuit Design?

Defining
integrated circuit design, or IC design, is part of a larger body of knowledge known as electronic engineering. In the discipline of electrical engineering, there is a process called circuit design . The goal of circuit design is to assemble a set of interconnected circuit elements that perform a specific objective function. The ability to add or multiply numbers is a simple example. The development of microprocessors that execute computer instructions to perform complex tasks is another example.

The circuit elements used in this process start with basic building blocks such as transistors, resistors, capacitors and wires. These elements are combined to form more complex functions such as logic gates or precision amplifiers, which are then combined to form more complex functions such as adders and multipliers. The process continues to build on itself, leading to the availability of increasingly complex circuit building blocks.

Circuit design utilizes discrete, prefabricated components to form circuits. In the case of IC design, there is an important distinction. Here, circuit elements are made from tiny components that are realized on a silicon substrate using a process called photolithography. The photolithographic process creates various geometric shapes on the silicon substrate, where the electrical properties of the areas defined by the shapes are altered. When these regions are combined and stacked on top of each other, basic circuit elements are created.

Therefore, IC design consists of two distinct processes . First, the circuit elements are assembled to perform the objective function . Next, the various geometries to realize these circuit elements must be assembled and interconnected on the silicon substrate . The first process is often called logic or circuit design , and the second process is called physical design . Depending on the type of signal the IC handles, either digital or analog methods are used . In the case of analog/mixed-signal or AMS designs, use both methods. In addition, how various circuit components meet the design requirements is also very important. A full custom design approach is used when circuit elements must be modified to meet design requirements.

The Importance of Integrated Circuit Design

IC design is a vital discipline. It forms the basis for the development of all microelectronic devices in use today. This includes microprocessors that power laptops and cell phones, image processing circuits that power computer monitors and televisions, and sensors used in wearable and implanted medical devices. These microelectronic devices also allow for the increasing use of artificial intelligence (AI), which opens up new fields such as autonomous driving, machine vision and natural language processing.

IC technology deployments have become commonplace in our world, and IC design forms the set of fundamental disciplines required to create these devices

Overview of the different steps in the IC design flow

The process of IC design can be thought of as a series of hierarchical decomposition steps. The high-level requirements are broken down into finer details, with the goal of implementing a circuit on a silicon wafer that faithfully performs the objective function. The main steps that make up the IC design flow include:
Building Design Here the required functionality of the IC is specified. The capabilities of the particular IC under consideration will be considered in the context of the system being built. What functions must the IC provide? What is the required speed and power consumption? What is the target cost of the equipment? The answers to these questions will inform the subsequent selection of the specific technology that will be used to implement the device. At this stage, the "what" is the most important. How it will be implemented is still not well defined.
Logic/circuit design . Here, macro-level building blocks are assembled and interconnected to achieve the desired functionality of the IC. Typically, pre-existing building blocks are used, such as memory, processing units, and sensors. The high-level functional description of the circuit elements is decomposed into the required low-level circuit elements. This process is automated by software called logic synthesis. Simulate collections of devices to verify functionality of designs. A digital logic simulator or an analog circuit simulator will be used, depending on the level of simulation detail required. If the macro-level building blocks need to be modified to meet the requirements of the IC, custom circuit design techniques are used. In this step, you begin to define the "how" of the implementation of the chip.
physical design . In this step, the actual layout of the interconnect shapes that implement all the necessary circuit elements on the silicon wafer is created. The process begins with a chip "floor plan," which defines the location of each major function of the chip and the location of the design's main input and output ports. The final circuit components are then placed and routed in preparation for fabrication. If macro-level building blocks need to be modified to meet the IC's requirements, custom layout techniques using IC layout editor tools are used. The "how" of the chip implementation is now fully defined.
physical verification. It is now possible to model all the physical effects that the manufacturing process adds to the design. Added resistance from wiring, signal crosstalk, and variability in the manufacturing process itself are some of the many items that must be considered here. Will the circuit still function properly under these stresses? In addition, there are many design rules about how the circuit must be physically laid out on the silicon wafer to ensure it is manufacturable. These design rules are also checked in this step.
sign off . This is the final step before sending the design to manufacturing. Here, all critical parameters that will affect chip performance or manufacturability are verified against the results of a "golden signoff" quality tool. In this step, the design rules are fully verified, as well as the design rules for manufacturability. During this step, the design's timing, power consumption, and signal integrity are also verified and "closed." Accurate parasitic extraction during the signoff process is critical to ensure that the physical impact of the process is fully understood. Gold signoff Synopsys tools used in this step include IC Validator, PrimeTime®, PrimePower, and StarRC.

insert image description here

verify

insert image description here

Section 01
Q: Advantages and disadvantages of synchronous reset and asynchronous reset
A: Advantages of synchronous reset: It can ensure that the circuit is 100%; synchronous reset can synthesize smaller flip-flops; it can ensure that reset only occurs on the effective clock edge, filtering out reset Signal glitches; reset signals generated by internal logic, using synchronous resets can effectively filter out glitches. Disadvantages: When the reset signal width is smaller than the clock period, a pulse stretcher may be required so that the reset signal can be correctly sampled at the clock edge; when the reset signal is generated by an external logic combination, the reset signal may be easily controlled by an external signal; In the design of the gated clock, if the reset signal is valid, the clock may be in the off state, and the reset is invalid; the advantage of asynchronous reset: many EDA tools have library files for asynchronous reset, which can ensure that the data path is clean and reduce delay; the reset signal Independent of the clock, as long as the reset signal is initiated, the circuit resets immediately; the synthesis tool can recognize the reset signal well; disadvantages: sensitive to glitches; if the reset is canceled just at the clock edge, it is easy to make the output of the register metastable.

2
Q: What is competition and risk-taking, and how to eliminate them?
A: The phenomenon that the time when the signal reaches a certain meeting point through different paths is different, it is called competition, and the phenomenon of instantaneous error in the circuit output caused by the competition phenomenon is called risk. The easiest way to avoid this in your design is to use sequential logic to synchronize inputs and outputs as much as possible.

Section 03
Q: What is a synchronous circuit and what is an asynchronous circuit?
A: Synchronous logic is that there is a fixed causal relationship between clocks. Asynchronous logic is that there is no fixed causal relationship between clocks. In the circuit, clocks of different frequencies obtained by frequency division of the same clock source act on two parts of the circuit, and the two parts of the circuit are also synchronized. Conversely, circuits with different clock sources are asynchronous circuits.

Section 04
Q: What is metastable state, its causes, and how to eliminate it?
A: Metastable state: It means that the flip-flop cannot reach a certain state within the specified time, and metastable state phenomenon will appear at this time. Reason: Because the Tsu and Th of the flip-flop are not satisfied, when the flip-flop enters a metastable state, it is impossible to predict the output of the unit, and this instability will cascade and propagate along the various flip-flops of the signal channel. Elimination method: two-level or multi-level register synchronization. But in theory, it cannot be completely eliminated, but can be reduced.

Section 05
Q: AXI protocol (only about outstanding)
A: Outstanding is the number of addresses sent out, unprocessed addresses can be stored in the cache of the AXI bus first. After the transaction of one transmission is completed, the next data transmission can be carried out without handshaking the transmission address, so the outstanding of axi is essentially to realize the pipeline of data transmission

https://www.zhihu.com/

Guess you like

Origin blog.csdn.net/weixin_42000717/article/details/125348865