(9)vivado ila IP使用示例(学无止境)

1 verilog代码
`timescale 1ns / 1ps

module top(
  clk           ,
  led          );

//input/output  
input  clk      ;
output led      ;

//wire
wire sys_clk   ;
wire sys_reset ;
wire led       ;

//
led  led(
  //clock and reset
  .sys_clk      (sys_clk  ), //input       sys_clk  
  .sys_reset    (sys_reset), //input       sys_reset
  
  //o_led
  .o_led        (led       ));//output      o_led

//led_ila led_ila
led_ila led_ila (
  .clk          (sys_clk   ),  // input wire clk
  .probe0       (led       )); // input wire [0:0] probe0

endmodule

2 ila IP核配置

在vivado中,配置ila IP核参数,只配置1个位宽的调试输入,其他默认。

3 结束语

希望对你有帮助,如果遇到问题,可以一起沟通讨论,邮箱:[email protected]

猜你喜欢

转载自blog.csdn.net/m0_46498597/article/details/108358168
今日推荐