(5)vivado不能生成bit文件(学无止境)

1 环境说明

软件:vivado 2019.1

硬件:K7系列FPGA

2 遇到问题

vivado 建立工程、添加源代码、约束文件,生成bit文件报错;vivado提示错误解决办法如下:

[DRC NSTD-1] Unspecified I/O Standard: 2 out of 16 logical ports use I/O standard (IOSTANDARD) value 'DEFAULT', instead of a user assigned specific value. This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all I/O standards. This design will fail to generate a bitstream unless all logical ports have a user specified I/O standard value defined. To allow bitstream creation with unspecified I/O standard values (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks NSTD-1].  NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command),

猜你喜欢

转载自blog.csdn.net/m0_46498597/article/details/108214525
今日推荐