vivado下使用ILA抓取波形

版权声明:本文为博主原创文章,未经博主允许不得转载。 https://blog.csdn.net/qq_38352854/article/details/79502513

第一部分:RTL设计
    在RTL中想要抓取的信号前加上(*keep = "TRUE"*)例如想要抓取cnt信号:
     (*keep = "TRUE"*)reg [3:0] cnt = 4'd0;

第二部分:加入ILA核
   在vivado工程中打开IP Catalog选项,找到ILA核

进入配置ILA核的选项:
  第一页


第二页:


配置结束之后点击OK


第三部分:在RTL中嵌入ILA核

在vivado工程的IP source中找到刚刚生成的ILA核的例化代码


将例化代码拷贝到所设计的RTL中:


注意:clk需连接到所要观察信号的相应时钟域,一个RTL设计中可加入多个ILA核,以便观测不同的信号。


第四部分:使用vivado在线抓去波形

(1)修改完RTL后,点击Generate Bitstream生成bit文件

(2)开发板上电,连接上JTAG下载器,然后打开open Target


点击open new target



点击next


点击next


点击next


点击finish

此处若出现错误可以忽略

点击左下角的program device


点击program


开始下载


下载完成后,vivado界面开始发生变化


添加信号(默认已经添加)



修改触发条件(cnt=2),触发深度(1024),触发位置(500)


点击触发按钮



至此为vivado查看波形的全过程。



猜你喜欢

转载自blog.csdn.net/qq_38352854/article/details/79502513
今日推荐