学习ZYNQ之FPGA的第四天(ILA的使用)

ILA是一种调试手段,有两种方式:

一种是HDL:

点击IP Catalog,查询ILA,在Number of Probes处选择观察信号的个数,并设置信号的位宽,选择ooc模式,找到生成的例化模板,将例化模板与系统信号连接,生成比特流。

另一种是标记Debug,即在网表里添加被测信号:

在综合里打开设计文件,打开Debug窗口,选择被调试信号右键MARK Debug(在网表里添加观察信号会使得有些信号被优化,添加不进来,需要我们在代码中进行修改)。

在信号定义的地方前加入(*mark_debug="ture"*)后,软件就不会对信号进行优化。完成后进行综合,生成比特流。

发布了3 篇原创文章 · 获赞 6 · 访问量 962

猜你喜欢

转载自blog.csdn.net/bunengyonghanzi/article/details/105714311