Modelsim waveform simulation [original] Quartus call

In the process of using QuartusII software, often need to run the simulation, then the simulation would have to say that when it comes to this Modelsim simulation software, and the software in use in QuartusII we introduce here. 

Establish a connection of Modelsim and Quartus

If this is the first time, the need to establish a connection. Tools - >> Options - >> EDA Tools Option, select the application path in Modelsim-Altera place. For example, "D: \ altera \ 13.0 \ modelsim_ae \ win32aloem" this.

Create a test file

Processing - >> Start - >> Start Test Bench Template Writer, after the establishment of good conduct written test files themselves. 13.0 vt test version of the file in ../simulation/modelsim/ path.

Adding test files

Assignments -->> Setting-->> EDA Tool Setting。

figure 1

Just select the test file below NativeLink Setting place. Add a test project to complete the field below.

figure 2

Compile and run simulation

The above operation is completed compiling, Tools After compilation - >> Run Simulation Tool - >> RTL Simulation to simulate the operation, after Modelsim will open and perform in accordance with the test file.

Guess you like

Origin www.cnblogs.com/airbird/p/11455201.html