When quartus executes timing simulation, it calls Modelsim program error: Error:Can'tlaunch the ModelSim-Altera software

Error:Can’tlaunch the ModelSim-Altera software – the path to the location of theexecutables for the ModelSim-Altera software were not specified or theexecutables were not found at specified path.

Problem Description

  • Call Modelsim program error when quartus performs timing simulation
    insert image description here

solution:

  • Solution 1: Correctly set the path of ModelSim in Quartus II Tools -> Options -> General -> EDA Tool Options: In the dialog box that appears, set the path to install ModelSim and must point to the folder where the ModelSim.exe file can be found
  • Solution 2: Choose modelsim_alter instead of modelsim when you first start building the project. -Solution
    3: Open assignment-sittings-simulation-more EDA settings in Quartus18.1-the penultimate open OK)
    This article is the original article of CSDN blogger "leiyar_",
    the original link: https://blog.csdn.net/qq_45798478/article/details/115840581

Guess you like

Origin blog.csdn.net/KIDS333/article/details/126850309