Quartus II 13.1 Installation


1. Download

Punctual Atomic Baidu Cloud: https://pan.baidu.com/s/1a9d-bq9RZmWrRV542X4IEA

Extraction code:

ifte


2. Installation

After decompression, double-click to install:
insert image description here


Next
insert image description here


acceptNext
insert image description here


Choose the installation location, Next:
insert image description here


Next:
insert image description here


Next
insert image description here


Finish
insert image description here


OK
insert image description here



3. Registration and driver installation

Registration file:
https://pan.baidu.com/s/16GnGbr4v-EFKF0VZYUArsg
Extraction code:

766d

Installation and use from Quartus II 13.1


Put the downloaded registry Quartus_13.1_x64.exefile in Quartus-llthe installation path ..\quartus\bin64 下, and double-click to execute:

insert image description here

insert image description here


应用:

insert image description here


保存
insert image description here


退出
insert image description here


Open the software:
insert image description here


Choose the second one, OK:
insert image description here


Click on Toolsthe following License Setup…:

insert image description here


Copy a NIC at will:
insert image description here


Replace license.datin XXXXXXXXXXXX:
insert image description here


After replacing:
insert image description here


Re-open the software and find that the date has changed:
insert image description here


Connect one end of the USB cable to the downloader, and the other end to the USB port of the computer.

Open Device Manager:
insert image description here


Right click USB-Blaster, 更新驱动程序软件:
insert image description here


浏览我的计算机以查找驱动程序软件
insert image description here


select ...\qurtus\drivers\usb-blaster, 下一步:
insert image description here


安装
insert image description here


Successful installation:
insert image description here


4. Use

1. New construction

New:
insert image description here


Next
insert image description here


Fill in the project directory and project name Next:

insert image description here


No need to add existing engineering design files, directly Next:
insert image description here


Select the corresponding chip, Next:
insert image description here


Next
insert image description here


Finish
insert image description here


Created successfully:
insert image description here



2. Create a new schematic file

insert image description here


select Block Diagram/Schematic File, OK:
insert image description here


Click 插头图标to search for components and place them on the drawing:

  • 1 and 2
  • 1 xor

insert image description here

insert image description here


Add two input pins and two output pins, double-click Pin Name to modify the pin name, name the input pin as aand b, and name the output pin as coand s:

insert image description here
insert image description here


Drag the pins to connect:
insert image description here


save document:
insert image description here


Compile:
insert image description here


Compiled successfully:
insert image description here


When open adder.bdf, design the design item as a callable component:
insert image description here


keep:
insert image description here


3. Half adder simulation

New
insert image description here


select University program VWF, OK:
insert image description here


Select EditInsertInsert Node or Bus…:
insert image description here


Click on Node Finder:
insert image description here


List
insert image description here


Move all ports on the left to the right, OK:
insert image description here


OK
insert image description here


Set the input waveform value:
insert image description here


Ctrl + S Save:
insert image description here


simulation:
insert image description here


Found an error:
insert image description here


According to the online method, modelisim.exethe location to join:
insert image description here
insert image description here


Compile again and find that an error is still reported:
insert image description here


But the error message is different, this time the cause of the error is 项目文件不能有空格.

So, copy the project to a new folder:
insert image description here


Double-click .qpfthe file to open the project:
insert image description here


OpenOpen the previous .vwf(仿真)file:
insert image description here
insert image description here


After simulation, it is found that an error is still reported:
insert image description here


Solution:
insert image description here


Output directoryBe sure to select the item under simulation\qism:
insert image description here


Open .vwfthe file and simulate again, successfully:
insert image description here
insert image description here


4. Design the top-level file of the full adder


New
insert image description here


Select Symbole Tool, search adder, i.e. the previous half adder:
insert image description here

insert image description here


Together with other elements, a full adder is formed:

  • two half adders
  • a or2
  • three inputs
  • 2 outputs

insert image description here


Ctrl + S save as full_adder.bdf:
insert image description here


Make this a top level file:
insert image description here


Compile and simulate:
insert image description here


5. Pin Binding

select Pin Planner:
insert image description here


Bind the corresponding pins:
insert image description here


Compile again:
insert image description here


6. Burn

Burning:
insert image description here


The first download requires hardware installation. That is, click the button in the download interface hardware setup..., then select in the pop-up dialog box USB blaster, and then click ok, the hardware will be installed:
insert image description here
insert image description here


Start
insert image description here


Burning is successful:
insert image description here


7. Results

Please add a picture description

Please add a picture description
Please add a picture description


8. Verilog implementation


8.1 Create verilog file

New
insert image description here

insert image description here


The first line my_fuller_adderneeds to have the same name as your own file:

module my_fuller_adder(
	//输入信号,ain表示被加数,bin表示加数,cin表示低位向高位的进位
	input ain,bin,cin,
	//输出信号,cout表示向高位的进位,sum表示本位的相加和
	output reg cout,sum

);
reg s1,s2,s3;
always @(ain or bin or cin) begin
	sum=(ain^bin)^cin;//本位和输出表达式
	s1=ain&cin;
	s2=bin&cin;
	s3=ain&bin;
	cout=(s1|s2)|s3;//高位进位输出表达式
end
endmodule

Set as top file:
insert image description here


Compile and view the circuit diagram:
insert image description here

8.2 Simulation

insert image description here


8.3 Burning

Please add a picture description

Please add a picture description
Please add a picture description


V. Summary

Verilog writing is very convenient compared to building circuits yourself.



reference

https://stackoverflow.com/questions/28919269/waveform-file-not-running-under-simulation

Use the two methods of Quartus-ll to simulate the full adder and burn it into the Intel DE2-115 development board for verification

Guess you like

Origin blog.csdn.net/weixin_46628481/article/details/123378344