Installation and use of Quartus II 13.1


Preface

This article is an introduction to the installation and usage of Quartus II 13.1.

1. Download of Quartus II

Baidu network disk download link:
https://pan.baidu.com/s/1a9d-bq9RZmWrRV542X4IEA
Extraction code: ifte
Description:
This link comes from the official data download of Punctual Atom

2. Installation of Quartus II

1. Unzip the compressed package
Insert picture description here
2. Run the executable program
3. The installation guide process
①Enter the welcom interface ②Check
Insert picture description here
"I accept the agreement", and then click Next
Insert picture description here
③Select the corresponding installation location, and then click Next
Insert picture description here
④Select the content to be installed, then Click Next
Insert picture description here
⑤Click Next
Insert picture description here
⑥The installation process (this process may take a long time)
Insert picture description here
⑦Click Finish
Insert picture description here
⑧Select OK
Insert picture description here

3. Registration of Quartus II

1. Download the cracker file
Baidu Netdisk link:
https://pan.baidu.com/s/16GnGbr4v-EFKF0VZYUArsg
Extraction code: 766d After
copying this content, open the Baidu Netdisk mobile phone App, the operation is more convenient
2. Cracker Use of ①Put the Quartus_13.0_x64 cracker.rar file
under ******\quartus\bin64, unzip the file and put the file in this directory, run the executable file
②Find the sys_cpt.dll file, and double-click This file
③Generate a "license" file under the installation directory, click "Save"
Insert picture description here
④The cracker is displayed as follows, click "Exit" to
Insert picture description here
run Quartus II 13.1, select the second one, click "ok"
Insert picture description here
Insert picture description here
⑥Select "tools" After the "license setup" below, the following window will pop up, copy the ID and you can
Insert picture description here
Insert picture description here
find the "license" file under "\quartus\bin64\ in the installation directory" and open it with Notepad, as shown in the figure below, draw a red frame Place, replace the NIC ID copied in the previous step with "XXXXXXXXX" to complete the registration
Insert picture description here
⑧Open Quartus II, and follow the steps below: Tool --> License Setup
Insert picture description here

Fourth, the use of Quartus II

(1) The configuration of related drivers

1. Connect one end of the USB cable to the downloader, and plug the other end into the USB port of the computer.
Right-click on the desktop [Computer]→[Manage]→[Device Manager
]
Insert picture description here
2. Right-click to select [USB-Blaster], and select [ Update the driver software§], then select Browse my computer to find the driver software
Insert picture description here
3. Click the [Browse] button to select the path of the driver as \qurtus\drivers\usb-blaster under the Quartus software installation directory, and click [Next]
Insert picture description here
4. Check the box in front of "Always Trust...", and then click the [Install] button to start installing the driver
Insert picture description here
5. After the installation is complete, the downloader can be used normally
Insert picture description here

(2) Understanding of the use process

Insert picture description here

(3) Use process

1. New construction

Create related folders

Folder name Folder description
doc Store project related documents
by Store Quartus software project files
rtl Store source code
sim Store the simulation files of the project

Insert picture description here
New project process
①Select File->New Project Wizard
Insert picture description here
②Click Next
Insert picture description here
③Select the project path and project name
Insert picture description here
④Click Next
Insert picture description here
⑤Select the chip
Insert picture description here
⑥Set the third-party EDA tool, here is the introduction to the Quartus II software, just go to Next
Insert picture description here
⑦The whole project Configuration
Insert picture description here

2. Design input

Create the top-level file of the project
Find [File]→[New] in the menu bar, select Verilog HDL File in the Design Files column, and then click the [OK] button.
Insert picture description here
Enter code

module flow_led( 
	input sys_clk , //系统时钟
	input sys_rst_n, //系统复位,低电平有效
	 
	output reg [3:0] led //4个LED灯 
	);
 
//reg define
reg [23:0] counter;

//*****************************************************
//** main code
//***************************************************** 
 
//计数器对系统时钟计数,计时0.2秒
always @(posedge sys_clk or negedge sys_rst_n) begin
	if (!sys_rst_n)
		counter <= 24'd0;
	else if (counter < 24'd1000_0000)
		counter <= counter + 1'b1;
	else
		counter <= 24'd0;
end

//通过移位寄存器控制IO口的高低电平,从而改变LED的显示状态
always @(posedge sys_clk or negedge sys_rst_n) begin
	if (!sys_rst_n)
		led <= 4'b0001;
	else if(counter == 24'd1000_0000)
		led[3:0] <= {
    
    led[2:0],led[3]};
	else
		led <= led;
end

endmodule

Save the code file, File->Save, and store it in the rtl folder

3. Configuration engineering

Configure dual-purpose pins
Select [Assignments]→[Device...], and then click the [Device and Pin Options] button
Insert picture description here
. Select Dual-Purpose Pin in the Category column on the left. . When you need to use the pins of EPCS devices, you need to change all the pins on the page below to Use as regular IO. If you are sure whether EPCS devices are used in the project, you can modify all of them.
Insert picture description here

4. Analysis and synthesis (compilation)

Select the [Analysis & Synthesis] icon in the toolbar to verify whether the syntax is correct, or you can compile the entire project at once
Insert picture description here
Insert picture description here
Insert picture description here

5. Assign pins

To assign pins to the input and output ports in the project,
you can click [Assignments] → [Pin Planner] in the menu bar or click the [Pin Planner] icon
Insert picture description here
in the toolbar . The 6 ports are 4 LEDs, clock and Reset After the
Insert picture description here
configuration is complete, directly close the pin assignment window, and the software will generate a .qsf file at the location of the project

6. Compile the project

Select [Start Compilation] icon in the toolbar
Insert picture description here
Insert picture description here

7. Download the program

Connect the device:
Connect one end of the USB Blaster downloader to the computer and the other end to the JTAG interface on the development board; then connect the power cord of the development board and turn on the power switch.
Download
and find the [Programmer] button on the toolbar or select the menu bar [ Tools】→【Programmer】
Insert picture description here
【Hardware Setup...】button, select "USB-Blaster" (the device is not connected here, so it cannot be selected)
Insert picture description here
Finally, select the .sof file to be downloaded, and click Start to start the download


Reference link

Quartus13.1 comes with a complete device library
Quartus II 13.1 method of adding device library

Guess you like

Origin blog.csdn.net/qq_43279579/article/details/115158140