VHDL语言基础-组合逻辑电路-基本逻辑门电路

数字电路中的四种基本操作是与、或、非及触发器操作,前三种为组合电路,后一种为时序电路。与非 、或非和异或的操作仍然是与、或、非的基本操作。与、或、非、与非、或非和异或等基本逻辑门电路为常用的门电路。

二输入与非门是一种常用的简单逻辑电路,它有两个输入端,一个输出端。从输入段输入两个信号,输出段输出这两个信号的逻辑与非值。

真值表:

                        ​​​​​​​        ​​​​​​​        ​​​​​​​        ​​​​​​​        ​​​​​​​        ​​​​​​​        

 nand是逻辑操作符与非,把nand替换为nor即可得到二输入或非门

代码:

Library IEEE;
Use IEEE.std_logic_1164.all;
Entity nand2 is
    port ( a, b: in std_logic;
              y: out std_logic);
End nand2;
Architecture behav of  nand2 is
Begin
      y<=a nand b;
End behav;

猜你喜欢

转载自blog.csdn.net/weixin_50932441/article/details/128957130