[Verilog语言入门教程] Verilog 减法器 (半减器, 全减器, 加减器共用)

依公知及经验整理,原创保护,禁止转载。

专栏 《元带你学Verilog

<<<< 返回总目录 <<<<

“逻辑设计是一门艺术,它需要创造力和想象力。” - 马克·张伯伦(Mark Zwolinski)

减法器是数字电路中常见的组件,用于减去两个二进制数的和。

在Verilog中,可以实现减法运算的有以下3种方式:

1. 半减器

半减器是一种简单的减法器,能够用于将两个单独的比特位进行减法运算。它由一个异或门和一个与非门组成。异或门用于计算两个输入位的差异结果,而与非门用于计算借位结果。半减器有两个输出信号,一个是差异输出,另一个是借位输出。

1.1 使用减法运算符(-)

可以直接使用减法运算符进行减法运算。例如,将两个信号A和B相减得到结果C的代码如下:

1.1.1 位减法器

设计

module subtractor(input 

猜你喜欢

转载自blog.csdn.net/vagrant0407/article/details/135372984