[Verilog语言入门教程] 免费开源的 verilog 仿真工具:icarus verilog

主页: 元存储博客

前言

知名的Verilog仿真工具主要为三大主流的产品:mentor的modelsim/questasim,candence的NC-verilog,synopsys的VCS。但都不是免费的,所以我一个都不讲。

作为verilog入门学习的仿真工具,有时候只是想调试一个简单的module,怎么做到一个子都不花呢?我帮你找了很久,介绍一款超级轻量的开源verilog仿真工具— icarus verilog。

1. 下载

官网下载链接:http://bleyer.org/icarus/

2. 安装

安装参考: https://zhuanlan.zhihu.com/p/436976157

安装时候, 如果没勾选创建桌面快捷方式,在桌面不显示, 这不打紧, 因为这个不是可视化操作工具, 是在命令行执行的。

特别注意的是, 只是安装完是使用不了的, 一定要手动添加环境变量

环境变量
1.右击开始按钮,点击“系统”
2.选择“高级系统设置”
3.把安装路径下的 bin 所在路径加到系统环境变量。
在这里插入图片描述
在这里插入图片描述

3. 安装成功?

在这里插入图片描述
显示这样就是成功了。

4. 使用

使用说明: win10下使用iverilog仿真+gtkwave/WaveDrom查看波形

先看完上面的使用说明吧,这里每一步都要输入命令,为了方便, 我写了一个批处理文件, 编译、仿真一步执行到位。

a.bat

iverilog test.v test_tb.v
vvp a.out
gtkwave wave.vcd

在命令行执行批处理文件即可.
在这里插入图片描述

总结


https://blog.csdn.net/lzl1342848782/article/details/124754271
https://zhuanlan.zhihu.com/p/95081329


声明
本文仅为学习交流目的。
文中部分文字和图片来源于互联网,列在参考的文献,但可能有遗漏。如有侵权,请告知我删除。

猜你喜欢

转载自blog.csdn.net/vagrant0407/article/details/135319169