数字电路基础与Quartus-II

一、安装Quartus-II 13

在这里插入图片描述
选择要安装的路径
在这里插入图片描述
经过长时间的等待
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

二、注册Quartus-II 13

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
选择其中一个NIC
在这里插入图片描述
将前面生成的license.bat以记事本打开,然后替换里面的XXXXXXXX,以下为替换后的文件
在这里插入图片描述
注册成功
在这里插入图片描述

三、安装Modelsim

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
等待长时间后选择NO
在这里插入图片描述
在这里插入图片描述

四、注册Modelsim

在 Modelsim 的安装目录 …\win64 下找到 mgls64.dll 文件,右击属性,取消勾选【只读】。
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述生成一个新的记事本
在这里插入图片描述
设置环境变量
在这里插入图片描述

五、在 Quartus-II 中自己用门电路设计一个D触发器

一、创建D触发器原理图并仿真

一、创建工程

新建工程
在这里插入图片描述
创建路径和命名
在这里插入图片描述
直接next
在这里插入图片描述
选择Cydone E,搜索EP4CE115,Target device选择第二个S
在这里插入图片描述
默认即可
在这里插入图片描述
finish
在这里插入图片描述

二、创建原理图文件

在这里插入图片描述
要4个NAND2和1个NOT
在这里插入图片描述
放2个input和2个output
在这里插入图片描述
将管脚连接在一起
在这里插入图片描述

保存一下
在这里插入图片描述

三、编译原理图文件

点击全编译
在这里插入图片描述
0errors和0warning

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

四、创建 VWF 文件

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

五、波形仿真

解决一些问题后就能仿真出来了
仿真有一定的错误,有待解决

二、调用D触发器并仿真

与之前的步骤类似
直接调用D触发器
搜索dff
调用D触发器并仿真
在这里插入图片描述
在这里插入图片描述

三、用Verilog语言实现D触发器并仿真

猜你喜欢

转载自blog.csdn.net/weixin_45888898/article/details/115354265