FPGA 及数字电路基础小知识(二)

verilog Coding错误类型
命名1.信号_n一般表示低有效,
信号定义
寄存器溢出 一定要写default,以防跑飞

封装成ip
1.新建一个工程
2.属性设置
3.synthesis 生成ngc.file
4.生成一个只含端口信号的顶层文件
5.工程B中
同步复位和异步复位 复位信号起作用的时间是否与时钟对齐
同步复位的好处在于他只在时钟信号沿触发,进行系统复位,降低了亚稳态的出现概率,但他需要消耗更多的器件资源
采用异步复位的端口无需额外增加器件资源的消耗,亚稳态 
建议使用异步复位的模块重构全局的拟同步复位信号
复位信号大多数都是低电平有效,这是为了统一电路处理,使得上电过程和按键按下过程处理电路一样,在没上电时,每个管脚都认为是低电平。如此,上电自然可以复位一下。


系统的输入输出可以分为两类:第一种是和特殊接口协议的芯片,如DSP,MPS等,这些芯片的输入输出接口的时序是固定的。另一种接口是高速数据通道。
针对第一种固定时序的接口时序,其实就是有限状态机设计。这种设计的简单思想,就是按照外边芯片的时序,设计我们芯片的接口。
FPGA做串行的数据运算就比较合适,DSP处理器做一些需要控制流程以及串行操作的工作更为得心应手
系统的功能划分不仅仅需要考量功能是不是合适,也要考虑接口的复杂度
程序语言不用操心数据储存的管理,数字逻辑设计就要自己设计,可以储存数据的结构有RAM(资源大,复杂),FIFO(数据处理完就不再用这些数据的时候),还有D触发器组构成的Buffer(资源有限,实现和读取结构简单 )。

猜你喜欢

转载自blog.csdn.net/stanary/article/details/80960278
今日推荐