vcs + verdi 查看仿真波形

版权声明:本文为博主原创文章,未经博主允许不得转载。 https://blog.csdn.net/Setul/article/details/81670144
  1. 软件版本:
    vcs : 2017.03
    verdi : 2017.12-SP2-1
    unix : CentOS 6.0
  2. makefile这里写图片描述可以看到makefile中共有四个目标:前三个分别是 : “compile”, “sim”, “verdi”。分别代表了编译,仿真,查看波形的三个过程。
  3. vcs 调用命令
    vcs -f run.f -kdb -debug_access+all -lca -l comp.log
    其中, -f参数run.f是一个文件,里边放置了所有的.v文件,表示了文件编译的顺序。 -kdb -debug_access+all -lca 这些参数是允许进行单步调试等参数,在此不做详解。 -l表示生成日志文件。

    verdi调用命令
    verdi -ssf rtl.fsdb -arch32 -nologo &
    -ssf表示指定波形文件。
    注意:FSDB文件:fsdb文件是verdi使用一种专用的波形文件数据格式

  4. 依次执行 make compile, make sim, make verdi三条命令。会自动打开verdi。
  5. verdi中如何看波形
    这里写图片描述
    上面给出的是verdi的界面。在右上代码区选中一个或多个信号,使用Ctrl+w即可将该信号的波形显示在下方的波形区。
  6. verdi在波形区进行添加信号的方法
    在波形区的左边是有G1, G2的分组的,代表不同组别的信号,双击可以将该组别的信号折叠起来。如果想在某一组中添加信号,如G2,则单击G2, 然后点击键盘上的G,即可出现下面的对话框。该对话框左边是文件,右边是文件中的信号,可以通过下边的filter选择输入信号还是输出信号等等,这样也可以显示某信号的波形。
    这里写图片描述
  7. verdi中如何trace信号,跟踪信号的变化
    两种方法:

    • 在代码区直接双击信号,即可在下方的Trace窗口看到信号的变化情况;
    • 在波形图中点击某个信号的波形,双击,即可看到该信号的变化情况。如下图所示,在下图黄线处双击,即可看到信号Mux2_sel[1:0]是如何发生由0到1 的跳变的。
      这里写图片描述
      这里写图片描述
  8. verdi中在波形区做标记”marker”
    由于在查看波形的时候,可能有好几处错误等,需要先标记一处再去看别的,所以需要做”marker”。使用shift + M,可出现如下对话框。在对话框中可指定marker的名称,位置等等。
    这里写图片描述

  9. vcs是如何产生波形文件.fsdb的
    在我的工程的顶层文件中有如下一段代码,即位生成波形的代码:

    initial
    begin
        $fsdbDumpfile("rtl.fsdb");
        $fsdbDumpvars;
        #12500 $finish;
    end
    
  10. 如标题所以,本文只是介绍了vcs + verdi 查看仿真波形的功能,至于调试等等未有涉及。

猜你喜欢

转载自blog.csdn.net/Setul/article/details/81670144
VCS