[VCS、verdi、makefile] 联合仿真 ——步骤

目录

1、vcs、verdi简介和安装

2、Verdi的配置

3、vcs与Verdi联合仿真的Makefile脚本

1、基于Verilog系统函数Makefile脚本

1.1 先在tb文件中添加代码段,仿真后可以产生.fsdb文件

 1.2 Makefile写法

2、基于ucli/tcl接口Makefile脚本

4、Verdi的使用


1、vcs、verdi简介和安装

      建议去淘宝买别人别人装好的环境,自己整比较麻烦。

2、Verdi的配置

verdi环境配置(gvim ~/.bashrc),主要是在  .bashrc中配置下面这三个参数:

  • VERDI_HOME/NOVAS_HOME:  其实就是Verdi的安装路径
  • PATH  : 在Verdi的安装路径中,把Verdi的快捷键找出来
  • LD_LIBRARY_PATH  :  让Verdi找到相应的库文件,从而进行相应的仿真
export SNPSYS_HOME=/opt/synopsys
export VERDI_HOME=$SNPSYS_HOME/verdi
export PATH="$PATH:$VERDI_HOME/bin:$VERDI_HOME/platform/LINUX64/bin"
export LM_LICENSE_FILE="$LM_LICENSE_FILE:$SNPSYS_HOME/Synopsys.dat"

3、vcs与Verdi联合仿真的Makefile脚本

Makefile脚本分文两种写法,第一种较为简单,对新手比较友好:

  • 基于Verilog系统函数Makefile脚本
  • 基于ucli/tcl接口Makefile脚本

3.1  基于Verilog系统函数Makefile脚本

3.1.1 先在tb文件中添加代码段,仿真后可以产生.fsdb文件

initial begin 
    $fsdbDumpfile("fifo.fsdb");
    $fsdbDumpvars(0);
end 

 3.1.2 Makefile写法

3.2  基于ucli/tcl接口Makefile脚本

    略

4、Verdi的使用

推荐一位良心up主讲解视频   bilibili新新新Icer

猜你喜欢

转载自blog.csdn.net/Arvin_ing/article/details/127762680