Novas Verdi、Debussy ,Synopsys VCS,Candence NC-Verilog,Mentor Graphics工具介绍

Verdi=Debussy是Novas公司的debug工具;
    SynopsysVCS,Candence NC-Verilog,Mentor Graphics波形仿真工具

(1) Verdi 和Debussy Novas公司debug工具,不是仿真工具,本身不具备仿真功能;
(2) Verdi 是Debussy的升级版;两者大同小异;
        Verdi是Linux版本;Debussy是Windows版本;
(3) Debussy可以预存设计中所有信号的波形,使用选项 -DFSDBALL;
       仿真需要新调入信号进行观测时,可以即时调入而无需重新跑仿真;
 (4)Debussy由于本身不具有仿真功能,需要由Modelsim等仿真软件生产fsdb波形文件
 (5)Novas公司于2008年被SpringSoft公司收购,SpringSoft思源科技(厦门的公司)
 (6) Debussy,它最强大的功能是 :能够在HDLsource code、schematic diagram、waveform、state bubblediagram之间, 即时做追踪trace ,协助工程师debug。

Verdi系统的新SVA功能提供设计者一个更快和更容易的方式,去使用Assertion和Assertion本身提供的好处,同时更进一步提升Assertion自动化除错过程,运用强有力的引擎增强和分析其它工具产生的结果,快速找到错误的根源与原因。

工程师能够从SVA语言描述中进入到Verdi的环境,检视和横越完整的原始码和层级架构,并且很容易审视Assertion的结构,例如使用者能够在表格中分析错误和覆盖、分类及过滤数据,以突显造成错误的原因,并把结果贴在原始码中,工程师轻易的从原始码即可看到所有的信息,而不须从复杂的波形中寻找;同时,该系统能够直接指出从Assertion发现错误的地方到引发问题的错误讯号中间的追踪流程,自动分解Assertion并确认错误的叙述,以直接追踪到问题的根节。



仿真工具 :SynopsysVCS,Cadence NC-Verilog, Verilog-XL,以及MG的Modelsim和Questasim

debussy的新版本就叫verdi了

debussy是数字电路设计过程中的debug工具,有三个基本窗口:

source code window: 提供了一个比较友好的界面,将整个设计的source code按设计的层次结构以树状排布,并且可以在代码上反标仿真结果,支持查找、寻找驱动等一些debug常用的操作

schematic window: 将设计原代码提取成电路图,有Hierarchy和Flatten两种方式显示,并且能够提取电路的一部分单独显示,或者是提取某个信号的输入/输出电路等,能够很方便的查勘信号、模块之间的电路关系,同样也可以反标仿真结果;

waveform window: 可以载入vcd 和 fsdb格式的仿真波形文件,可以在波形窗口中进行查找某个数值/跳变,进行波形比较,在波形窗口中进行driver、load的追踪等

以上三个窗口是相互关联的,因此综合使用上面三个窗口可以得到很多种debug的方法,大大提高debug的效率。

verdi是debussy的升级版本,增加了好几个强大的功能,其中最重要的是增加了一个分析引擎,能够自动识别设计代码的逻辑关系,与仿真波形进行综合比较后,就能够准确定位出整个设计中任意时刻的任意信号对应的逻辑模块。

在这个分析引擎的基础上,添加了一个新的窗口叫做temporal flow view,使用这个窗口,可以帮助你自动化完成对指定信号某一时刻跳变的源头的追踪,并以电路图的方式,加上时钟,将整个产生这个跳变信号的电路路径显示在窗口中。

Verdi是給編程時多加入一些設計規格的資訊,方便後來接手的人可以迅速瞭解整個設計,不過用得人似乎不多
 
加代码设计规格的是nLint,是Novas的另外一个产品,和verdi不一样的。

nLint是用于设计规则检查的,Verdi是Debug工具说实话,都差不多

Verdi = deubssy沒錯,早期叫debussy,那時有windows的版本,後來進化到6.0以後,就只出linux版本,而且改名為Verdi,功能則是大同小異

verdi和debussy的功能是一样的都是用来看simulation 的结果


一般来说 我感觉在查看波形时很多操作是Modelsim更顺手而Modelsim看新信号需要重新仿真一次 时间长的仿真效率就明显低

Debussy优势是可以保存所有信号到一个db文件里 想看哪个看哪个 导出为文本进行后处理也方便但Debussy不支持64位Windows是个很讨厌的事 而且在Windows系统上也不更新了 很多新功能只能在Linux装Verdi来用

结论就是如果用Linux 首选Verdi

Windows上小仿真用Modelsim复杂的仿真首选是不看波形(而是通过打印关键信息和输出到文件来效率更高) 或者用Linux来弄

猜你喜欢

转载自blog.csdn.net/wordwarwordwar/article/details/80207303