从零开始VCS+Verdi 安装过程

从零开始VCS+Verdi 安装过程

主要参考:VCS+Verdi 安装及破解过程(CentOS7)-----FPGA开发
想要一个纯净的系统,所以在虚拟机上直接重新安装了空白的Ubuntu 16.04。然后(⊙﹏⊙)别人写的好简略啊,我咋一遍也出不来啊,磨了我快一周时间。主要讲讲过程吧,图片截图了没放上去,咋搭配不好,直接放弃(~﹃~)~zZ

1. 安装文件结构

–vcs-mx_vL-2016.06
–verdi_vL-2016.06-1
–scl_v11.9              #此程序统一管理license等破解文件
–SynopsysInstaller.rar  #此程序用于安装以上3个程序
–scl_keygen             #此文件用于生成license文件

原网盘链接:
附上网盘链接:
vcs_2016
https://pan.baidu.com/s/1SWk4TUaAqG9zgfZPKQJBqw 提取码:ckhi
verdi_2016
https://pan.baidu.com/s/1tSeup0tPo60NI0w9e8e_bA 提取码:wnwq
scl_v11.9
https://pan.baidu.com/s/1FCY8wDEPxJqs8GtDv3iN4g 提取码:5ert
synopsys_installer
https://pan.baidu.com/s/1_7F-sTfi1QvEg-XNy4IWWw 提取码:elml
scl_keygen
https://pan.baidu.com/s/1Zc-TaEiPpb9Zci1Kj7chKA 提取码:97ee

2. 解压相关压缩包:SynopsysInstaller.rar

3. 建立相关文件夹

我是在初始目录直接创建文件夹
在终端中:

mkdir synopsys
cd synopsys
mkdir install
mkdir vcs_2016.06
mkdir scl_11.9
mkdir verdi_2016.06-1

4. 安装synopsys installer

需要安装synopsys installer,之后通过synopsys installer安装scl、verdi、vcs。
(1)解压synopsys_installer得到:SynopsysInstaller_v3.3.run (可执行文件)
(2)复制SynopsysInstaller_v3.3.run到~/synopsys/install中
(3)运行SynopsysInstaller_v3.3.run,终端./SynopsysInstaller_v3.3.run
(4)第三步后得到在~/synopsys/install中的setup.sh文件。

5. 安装环境

新系统没有csh,因此无法运行安装程序

sudo apt-get install csh

可选项(SynopsysInstaller.rar中有文档说要加环境变量,然而实际不需要):
在.bashrc中加

# install Synopsys
export PATH=$PATH:/home/hdl/synopsys/installer

6. 启动synopsys installer并安装

打开synopsys installer

cd synopsys_installer
./setup.sh

点击Start–>Next后,需要依次安装scl、vcs、verdi。途中需要选择安装路径,选择在第4步建好的相应的文件夹,并且等待成功安装即可。

7.Window上获得license

以上便已经安装完毕了,但是,并没有license,所以不能打开软件。
考虑人家正版不容易,看原博客去做license吧/(ㄒoㄒ)/~~

给root用户设置密码

sudo passwd root

输入密码,并确认密码。
重新输入命令切换到root权限。使用su xyx命令,切换到普通用户

linux ubuntu操作系统修改网卡名称为ethx的方法

进入 root 用户:

扫描二维码关注公众号,回复: 10915053 查看本文章
su
gedit /etc/default/grub

在“GRUB_CMDLINE_LINUX”位置处不改变之前原有信息,在其基础上进行追加信息“net.ifnames=0 biosdevname=0”
更新配置:

update-grub

编辑网络接口文件:

gedit /etc/network/interfaces

追加如下信息:

auto eth0
iface eht0 inet dhcp

重启机器

reboot

在虚拟机中查询:

hostname
ifconfig

HOST ID Deamon和HOST ID Feature是eth0的MAC地址12位数字。
填好之后,直接点击Generate。在同一个文件夹就会出现Synopsys.dat。这个就是我们要的文件。

9. 设置环境变量

为了之后激活和运行程序方便,设置环境变量是必不可少的。

export SynopsysList=/home/hdl/synopsys
export DVE_HOME=$SynopsysList/vcs_2016.06/gui/dve
export VCS_HOME=$SynopsysList/vcs_2016.06
export VERDI_HOME=$SynopsysList/verdi_2016.06-1
#dve
export PATH=$PATH:$VCS_HOME/gui/dve/bin
alias dve='dve -full64'
#VCS
export PATH=$PATH:$VCS_HOME/bin
alias vcs='vcs'
#VERDI
export PATH=$PATH:$VERDI_HOME/bin
alias verdi='verdi'
#scl
export PATH=$PATH:$SynopsysList/scl_11.9/amd64/bin
export VCS_ARCH_OVERRIDE=linux
#LICENCE
export LM_LICENSE_FILE=27000@ubuntu
alias lmg_vcs='lmgrd -c $SynopsysList/vcs_2016.06/license/Synopsys.dat'

你只需要在第一行修改自身的用户名。
与原文不同在于alias别名使用时用的是’而不是"。

10. 开放端口并补充缺失的功能

(1)为了启动lmg_vcs安装lsb

sudo apt-get install lsb-core

(2)开放端口

sudo ufw allow 27000
sudo ufw enable
sudo ufw reload
sudo ufw status

(3)查看并清空27000端口

netstat -ap | grep 27000
kill -9 XXX(看到的占用端口的ID号)

再次查看确保该端口空闲
■若启动lmg_vcs途中有关TCP port的报错,查询27000端口进程,直接kill掉等待27000端口完全释放再重新lmg_vcs即可。
(4)修改ubuntu 上的/bin/sh
启动vcs总是报 Illegal option -h
在ubuntu上,/bin/sh默认是链接到/bin/dash的,当你从源代码编译软件的时候,dash可能会导致一些错误,至少我遇到过类似问题,因此,我把/bin/sh的连接改为了/bin/bash
应该这样做:

rm -f /bin/sh
ln -s /bin/bash /bin/sh

就可以用vcs了.vde也可以启动了
(5)安装libjpeg.so.62:
启动dve总是报:

hdl@ubuntu:~$ verdi
/home/hdl/synopsys/verdi_2016.06-1/platform/LINUXAMD64/bin/Novas: error while loading shared libraries: libjpeg.so.62: cannot open shared object file: No such file or directory

解决办法:

sudo apt-get install libjpeg62-dev

(6)为lmgrd创建文件夹,该命令本身不能再root权限下运行,因此自身无法创建

sudo mkdir /usr/tmp

(7)查看license启动情况。
感谢网友真我个性:输入命令lmstat即可查询。
(8)检查license

/home/hdl/synopsys/scl_11.9/amd64/bin/sssverify /home/hdl/synopsys/vcs_2016.06/license/Synopsys.dat

11.启动程序

每次重启系统后,输入

lmg_vcs

调用lmgrd注册license
要启动程序在终端中直接输入程序名即可启动图形化界面

vcs
dve
verdi
发布了6 篇原创文章 · 获赞 3 · 访问量 1万+

猜你喜欢

转载自blog.csdn.net/Ztrans/article/details/88757695
今日推荐