vcs+verdi版本 七夕小心心

1.简述

这个是根据 FPGA开源工作室 分享的工程修改而来的,原版是modelsim的,有兴趣的朋友可以去公众号下载。最近刚入职,作为ICer必须得用vcs+verdi,所以在七夕那天加完班回家继续加班做小心心。最后成功完成移植,今天就分享一下。

2.修改

1).下载好原版工程,通过共享文件的方式将工程拷到EDA虚拟机里面,进入到直接进入到sim目录下,我的如下图所示。黄色框内是我添加的.v的list文件和make脚本。
在这里插入图片描述
2)filelist文件的生成
在sim目录下使用下面命令自动生成filelist

find -name "*.v" >filelist.f

打开filelist.f 文件,是如下图,生成所有.v文件的路径,方便在makefile中使用。
在这里插入图片描述
3)打开heart_tb.v文件添加红框中的语句生成.fsdb文件

在这里插入图片描述
4)makefile是通用的,就没什么需要主意的,我的makefile如下:
在这里插入图片描述

3.仿真

启动仿真打开verdi后,我做一些设置才能真正显示红心。具体操作点击下面的传送门到我的b站上观看:b站传送门

4.效果图

请添加图片描述
请添加图片描述

欢迎关注我的公众号:芯王国,有更多的FPGA&数字IC的技术分享,还可以获取开源FPGA项目!

在这里插入图片描述

猜你喜欢

转载自blog.csdn.net/weixin_40377195/article/details/108300729