VCS+Verdi 安装及破解过程(CentOS7)-----FPGA开发

VCS+Verdi 安装及破解过程(CentOS7)-----FPGA开发

简述

终于开始了我的FPGA 开发之路。本着梳理笔记和锻炼文档编写的意图,写了博客。
这篇文章讲述了在CentOS7系统上VCS和Verdi的安装和基本的环境配置。当然因为是无产阶级的劳动人民,只能可怜地用用破解版。唉…
文章支持:eetop。

安装步骤

1. 安装文件结构

–vcs_2016
–verdi_2016
–scl_11.9 #此程序统一管理license等破解文件
–synopsys_installer #此程序用于安装以上3个程序
–scl_keygen #此文件用于生成license文件

附上网盘链接:
vcs_2016
链接:https://pan.baidu.com/s/1SWk4TUaAqG9zgfZPKQJBqw 提取码:ckhi
verdi_2016
链接:https://pan.baidu.com/s/1tSeup0tPo60NI0w9e8e_bA
提取码:wnwq
scl_v11.9
链接:https://pan.baidu.com/s/1FCY8wDEPxJqs8GtDv3iN4g
提取码:5ert
synopsys_installer
链接:https://pan.baidu.com/s/1_7F-sTfi1QvEg-XNy4IWWw
提取码:elml
scl_keygen
链接:https://pan.baidu.com/s/1Zc-TaEiPpb9Zci1Kj7chKA
提取码:97ee

2. 解压相关压缩包

文件大部分是rar格式压缩包,需要在CentOS上安装rar, rar安装包自行百度。安装之后,使用“rar x filename”解压即可。

3. 安装synopsys installer

将对应的安装包下载解压之后,需要安装synopsys installer,之后通过synopsys installer安装scl、verdi、vcs。
(1)解压synopsys_installer
解压后,得到:
–checksum_info.txt
–installer_INSTALL_README.txt
–SynopsysInstaller_v3.3.run (可执行文件)

(2)运行SynopsysInstaller_v3.3.run
command: ./SynopsysInstaller_v3.3.run

(3)第二步后得到在同一文件夹等到setup.sh文件。

4. 建立相关文件夹

这一步是建立属于每个安装程序的文件夹。
command:
mkdir synopsys
cd synopsys
mkdir vcs_2016.06
mkdir scl_11.9
mkdir verdi_2016.06-1

5. 启动synopsys installer并安装

以下命令是打开synopsys installer
command:
cd synopsys_installer
./setup.sh
界面为在这里插入图片描述
点击Start–>Next后,得到在这里插入图片描述
需要依次安装scl、vcs、verdi。
在这里,vcs、verdi、scl安装步骤是一样的,这里以scl为例。
在source方框中,选择解压scl安装包后得到的scl文件夹,里面有*.spf文件。
选中正确的文件夹之后,点击Done–>Next(若干个),接下来选择与自己系统相对应的版本选择安装,途中需要选择安装路径,选择在第4步建好的相应的文件夹,并且等待成功安装即可。

6. window上获得license

以上5步便已经安装完毕了,但是,并没有license,所以不能打开软件。这个时候,就要用到scl_keygen这个文件夹了。
scl_keygen文件夹有:
在这里插入图片描述

打开scl_keygen.exe文件,
在这里插入图片描述
只需要修改以上涂黑的三项。其中HOST ID Deamon和HOST ID Feature我填的是一样的,HOST_Name填自己主机的名字。
HOST ID Deamon和HOST_Name查看方法:
如下图,我使用的是无线。若用电缆,大部分是eth0。HOST ID Deamon就是红色覆盖的12个字符(冒号除外);HOST_Name就是chenqw-PC,也可以直接在终端输入hostname获得。
在这里插入图片描述
填好之后,直接点击Generate。在同一个文件夹就会出现Synopsys.dat。这个就是我们要的文件了。

7. 修改Synopsys.dat文件并复制到对应文件夹

之前说过scl是一个统一管理Synopsys软件license的软件。
修改Synopsys.dat:
将第二行 修改成DAEMON snpslmd …/synopsys/scl_11.9/amd64/bin/snpslmd (这是你snpslmd对应的路径,修改成你自己的)。
文件复制:
将Synopsys.dat文件复制到…/synopsys/vcs_2016.06/license/和…/synopsys/verdi_2015/license/两个文件夹中(这是你license对应的路径,修改成你自己的,如没有license文件夹,自己建即可)。

8. 设置环境变量

为了之后激活和运行程序方便,设置环境变量是必不可少的。
配置.bashrc:
#dve
export PATH=$PATH:/home/chenqw/synopsys/vcs_2016.06/gui/dve/bin
alias dve=“dve -full64”

#VCS
export PATH=$PATH:/home/chenqw/synopsys/vcs_2016.06/bin

#VERDI
export PATH=$PATH:/home/chenqw/synopsys/verdi_2016.06-1/bin
export VCS_HOME=/home/chenqw/synopsys/vcs_2016.06
export VERDI_HOME=/home/chenqw/synopsys/verdi_2016.06-1
alias verdi=“verdi”

#LICENCE
export LM_LICENSE_FILE=27000@chenqw-pc
alias lmg_vcs=“lmgrd -c /home/chenqw/synopsys/vcs_2016.06/license/Synopsys.dat”

#scl
export PATH=$PATH:/home/chenqw/synopsys/scl_11.9/amd64/bin
export VCS_ARCH_OVERRIDE=linux

以上的配置仅作参考,需要根据你的安装路径进行配置。
之后使配置文件立即生效即可:
source .bashrc

9. 激活

激活license是最关键的步骤。在之前可能需要安装
redhat-lsb-core,直接使用yum 就可以安装了。
因为激活的端口使用的是27000。我实现开放了此端口。开放端口的方法可自行百度。
接下来直接在终端输入lmg_vcs,等待激活即可。若途中有关TCP port的报错,查询27000端口进程,直接kill 掉等待27000端口完全释放再重新lmg_vcs即可。
command:
sudo netstat -ap | grep 27000
kill -9 ******

seccess图示:
在这里插入图片描述

10 .运行dve, vcs ,verdi

dve&&vcs:
注:dve是vcs的界面化。
在这里插入图片描述在这里插入图片描述

verdi:
在这里插入图片描述在这里插入图片描述

结语

祝诸君成功。
下篇博文,不对,下片笔记。我想记一下与vcs仿真相关的平台搭建和makefile工程管理。

猜你喜欢

转载自blog.csdn.net/qq_40829605/article/details/85345795
VCS