Icarus Verilog的使用

mark一下,遇见一个不错的工具

 iverilog encoder.v  //编译文件
iverilog -o dsn encoder.v encoder_te.v 
vvp dsn     #模拟运行
gtkwave test.vcd  //生成仿真
发布了69 篇原创文章 · 获赞 28 · 访问量 9876

猜你喜欢

转载自blog.csdn.net/weixin_44146373/article/details/104686092