【DC 综合】Design Complier 使用总结(4)使用 TCL 脚本完成 DC 流程

在综合执行时,之前使用在终端逐句使用命令行的形式,虽然比使用图形界面的方式更快捷,但是过多的约束命令不仅容易敲错而且可能会漏输命令导致出错,因此可以使用 TCL 脚本的形式对所有命令整成脚本,这样只需执行脚本即可完成所有的命令。不仅快捷可以重复使用,只需针对不同的设计更改一些内容即可。

以下为针对综合流程设计的 TCL 脚本,内容值包含一些关键的约束,后面需要可以另行补充。

set search_path "/home/ICer/ic_prjs/DC/library"
#指定目标工艺库
set_app_var target_library smic18_tt.db
#指定链接工艺库
set_app_var link_library smic18_tt
#读入设计
read_verilog ../code/Sync_FIFO.v 
#定义工作环境
#设置线负载模型
set_wire_load_mode enclosed
#设置驱动强度
set_input_transition 0.1 [all_input]
#设置电容负载
set_load [expr {30.0/1000}] [all_output]
#设置扇出负载
set_fanout_load 4 [all_output]
#设定约束条件
#设置设计规则约束 DRC
set_max_transition 0.3 [all_input]
set_max_fanout 4 [all_input]
set_max_capacitance 2.0 [get_ports full]
#定义时钟
#时钟周期
create_clock -period 10 [get_ports clk]
#时钟网络延时
set_clock_latency -max 0.8 [get_ports clk]
#时钟偏斜值
set_clock_uncertainty -setup 0.2 [get_ports clk]
#设定输入/输出延时
set_input_delay -max 1.0 -clock clk [all_input]
set

猜你喜欢

转载自blog.csdn.net/m0_61298445/article/details/129047678
DC