DC入门教程(二)——综合的整体流程

DC综合的整体流程(Global View)

1.准备好工艺库,HDL代码,约束文件。
2.启动环境的配置,主要是三大库的配置,target link symbel library。
3.读入设计,是将设计文件转换成DC的中间格式文件,即GTECH格式,读入设计有两种方法: GUI中的read 还有tcl中的analyze&elaborate,这两者基本上是等价的,只是read可读的文件格式更多一些,比如。db的库文件。
4.定义设计环境:包括工艺参数(温度,电压等),I/O端口属性,统计wire_load模型。
5.设置设计约束:包括设计规则约束和优化约束,设计规则约束是由工艺库规定的,设计必须满足的,保证电路能正常工作的约束;优化约束:则是由用户指定的,时序,面积的优化目标。
6.选择编译策略:主要就是top-down bottom-up ,(about this two strategy 在 top down 策略中,顶层设计和子设计在一起编译,所有的环境和约束设置针对顶层设计,虽然此种策略自动考虑到相关的内部设计,但是此种策略不适合与大型设计,因为 top down 编译策略中,所以设计必须同时驻内存,硬件资源耗费大。在 bottom up 策略中,子设计单独约束,当子设计成功编译后,被设置为 dont_touch 属性,防止在之后的编译过程中被修改,所有同层子设计编译完成后,再编译之上的父设计,直至顶层设计编译完成。Bottom up 策略允许大规模设计,因为该策略不需要所有设计同时驻入内存。)
7.编译,用compile命令执行综合与优化的过程。
8.分析解决设计中存在的问题,通过DC的综合报告,分析和解决设计中存在的问题,以改善综合结果
9.存储设计数据,DC不会自动存储综合后的设计结果,在离开DC时手动存储设计的数据。比如存储网表,延时信息等。

发布了3 篇原创文章 · 获赞 0 · 访问量 36

猜你喜欢

转载自blog.csdn.net/weixin_41464428/article/details/104080701