简易数字频率计(verilog HDL设计)

简易数字频率计

原理:数字频率计的原理十分简单,简单的就是一句话和一幅图而已。
一句话:测量被测信号的频率,要清楚频率的定义,一言以蔽之,就是1s中信号的周期数,这不就是周期的倒数吗?
根据频率的定义,我们测量被测信号1s中变化的次数即可,即1s中的周期数。
首先我们设置一个基准时钟信号,频率为1Hz,从第一个上升沿开始计数(被测信号的上升沿数),直到下一个上升沿到达时停止计数,对数据进行锁存,再到达下一个上升沿时,对计数器进行清零,准备下一次的测量。
一幅图:

                             
本图是简易频率计的工作时序图,从图中 可以看出:
基准信号的第一个上升沿到达时,计数使能信号有效,计数器开始计数;
第二上升沿到达时,计数结束,锁存使能有效,计数数据开始锁存;
第三个上升沿到达时,清零信号有效,对计数器的输出清零,准备下一次的测量。

一个测量过程需要3 sec(重要)。

下面是数字频率计的原理图:
                 
由此原理图可以清晰的理解下面的verilog HDL程序:
  1. //简易频率计设计  
  2.   
  3. module freDetect(clk_1Hz, fin, rst, d0, d1, d2, d3, d4, d5, d6, d7);  
  4.   input clk_1Hz;//1Hz基准频率  
  5.   input fin; //待测信号  
  6.   input rst; //复位信号  
  7.     
  8.   output[3:0] d0, d1, d2, d3, d4, d5, d6, d7; //8位显示测量数据  
  9.   wire[3:0] q0, q1, q2, q3, q4, q5, q6, q7;   //中间数据  
  10.   wire[3:0] d0, d1, d2, d3, d4, d5, d6, d7;  
  11.   //控制模块实例  
  12.   control u_control(.clk_1Hz(clk_1Hz), .rst(rst), .count_en(count_en),  
  13.                     .latch_en(latch_en), .clear(clear));  
  14.     
  15.   //计数器模块实例  
  16.   counter_10 counter0(.en_in(count_en), .clear(clear), .rst(rst),  
  17.                       .fin(fin), .en_out(en_out0), .q(q0));  
  18.   counter_10 counter1(.en_in(en_out0), .clear(clear), .rst(rst),  
  19.                       .fin(fin), .en_out(en_out1), .q(q1));  
  20.   counter_10 counter2(.en_in(en_out1), .clear(clear), .rst(rst),  
  21.                       .fin(fin), .en_out(en_out2), .q(q2));  
  22.   counter_10 counter3(.en_in(en_out2), .clear(clear), .rst(rst),  
  23.                       .fin(fin), .en_out(en_out3), .q(q3));  
  24.   counter_10 counter4(.en_in(en_out3), .clear(clear), .rst(rst),  
  25.                       .fin(fin), .en_out(en_out4), .q(q4));  
  26.   counter_10 counter5(.en_in(en_out4), .clear(clear), .rst(rst),  
  27.                       .fin(fin), .en_out(en_out5), .q(q5));  
  28.   counter_10 counter6(.en_in(en_out5), .clear(clear), .rst(rst),  
  29.                       .fin(fin), .en_out(en_out6), .q(q6));  
  30.   counter_10 counter7(.en_in(en_out6), .clear(clear), .rst(rst),  
  31.                       .fin(fin), .en_out(en_out7), .q(q7));  
  32.     
  33.   //锁存器模块实例  
  34.   latch u_latch(.clk_1Hz(clk_1Hz), .rst(rst), .latch_en(latch_en),  
  35.                 .q0(q0), .q1(q1), .q2(q2), .q3(q3), .q4(q4), .q5(q5),  
  36.                 .q6(q6), .q7(q7), .d0(d0), .d1(d1), .d2(d2), .d3(d3),  
  37.                 .d4(d4), .d5(d5), .d6(d6), .d7(d7));  
  38.      
  39. endmodule  
  40.   
  41. //控制模块  
  42. //控制模块产生计数使能信号、锁存使能信号和计数器清零信号  
  43. module control(clk_1Hz, rst, count_en, latch_en, clear);  
  44.   input clk_1Hz;  
  45.   input rst;  
  46.   output count_en;  
  47.   output latch_en;  
  48.   output clear;  
  49.   reg[1:0] state; //状态信号,用于控制各种使能信号  
  50.   reg count_en;  
  51.   reg latch_en;  
  52.   reg clear;  
  53.   always @(posedge clk_1Hz or negedge rst)  
  54.   if(!rst)   //复位信号有效  
  55.     begin    //各种使能信号清零  
  56.       state <= 2'd0;  
  57.       count_en <= 1'b0;  
  58.       latch_en <=1'b0;  
  59.       clear <= 1'b0;  
  60.     end  
  61.      else    //遇到基准信号的下一个上升沿,状态变化一次,每次变化后状态持续1s  
  62.     begin  
  63.       case(state)  
  64.             2'd0:   
  65.             begin //第一个上升沿到达,开始计数,计数1个基准信号周期内待测信号的上升沿个数,此个数即为待测信号的频率  
  66.             count_en <= 1'b1;  //计数使能信号有效  
  67.             latch_en <=1'b0;  
  68.             clear <= 1'b0;  
  69.             state <= 2'd1;  
  70.           end  
  71.         2'd1:  
  72.           begin //第二个上升沿到达,计数完成,锁存使能信号有效,测得频率锁存至锁存器中  
  73.             count_en <= 1'b0;  
  74.             latch_en <=1'b1;  
  75.             clear <= 1'b0;  
  76.             state <= 2'd2;  
  77.           end  
  78.         2'd2:   
  79.           begin //第三个上升沿到达,清零使能信号有效,计数器清零,为下一次计数做准备  
  80.             count_en <= 1'b0;  
  81.             latch_en <=1'b0;  
  82.             clear <= 1'b1;  
  83.             state <= 2'd0; //状态清零,进入下一次测量  
  84.           end  
  85.         default:  
  86.           begin  
  87.             count_en <= 1'b0;  
  88.             latch_en <=1'b0;  
  89.             clear <= 1'b0;  
  90.             state <= 2'd0;  
  91.           end  
  92.             
  93.       endcase  
  94.             
  95.     end  
  96.     
  97.     
  98. endmodule  
  99.   
  100. //计数模块  
  101. //模10计数器,当计数使能时计数开始,当计数器到达4'b1001,即9时,输出下一模式计数器的使能信号并将计数器清零  
  102. module counter_10(en_in, rst, clear, fin, en_out, q);  
  103.   input en_in; //输入使能信号  
  104.   input rst;   //复位信号  
  105.   input clear; //清零信号  
  106.   input fin;   //待测信号  
  107.   output en_out; //输出使能,用于控制下一个计数器的状态,当输出使能有效时,下一个模10计数器计数加1  
  108.   output[3:0] q; //计数器的输出,4位BCD码输出  
  109.     
  110.   reg en_out;  
  111.   reg[3:0] q;  
  112.     
  113.   always@ (posedge fin or negedge rst) //输入待测信号的上升沿作为敏感信号  
  114.   if(!rst) //复位信号有效,计数器输出清零  
  115.       begin  
  116.         en_out <= 1'b0;  
  117.         q <= 4'b0;  
  118.       end  
  119.         
  120.     else if(en_in) //进位输入使能信号有效  
  121.       begin  
  122.         if(q == 4'b1001) //若q = 4'b1001的话,q清零,同时进位输出使能有效,即en_out 赋值为1'b1  
  123.           begin  
  124.             q <= 4'b0;  
  125.             en_out <= 1'b1;  
  126.           end  
  127.              else //若q未达到4'b1001时,每到达待测信号的一个上升沿,q加1,同时输出进位清零  
  128.           begin  
  129.             q <= q + 1'b1;  
  130.             en_out <=1'b0;  
  131.           end  
  132.       end  
  133.         
  134.     else if(clear) //若清零信号有效,计数器清零,主要用于为下一次测量准备  
  135.       begin  
  136.         q <= 4'b0;  
  137.         en_out <= 1'b0;  
  138.       end  
  139.     else  
  140.     begin  
  141.     q <= q;  
  142.     en_out <=1'b0;  
  143.     end   
  144.     
  145. endmodule  
  146.   
  147. //锁存器模块  
  148. //当锁存使能时,锁存器将8个模10计数器的输出值锁存并输出  
  149. module latch(clk_1Hz, latch_en, rst, q0, q1, q2, q3, q4, q5, q6, q7,  
  150.             d0, d1, d2, d3, d4, d5, d6, d7);  
  151.               
  152.   input clk_1Hz, latch_en, rst;  
  153.   input[3:0] q0, q1, q2, q3, q4, q5, q6, q7;  
  154.   output[3:0] d0, d1, d2, d3, d4, d5, d6, d7;  
  155.   reg[3:0] d0, d1, d2, d3, d4, d5, d6, d7;  
  156.   always@ (posedge clk_1Hz or negedge rst)  
  157.   if(!rst) //复位信号有效时输出清零  
  158.     begin  
  159.       d0 <= 4'b0; d1 <= 4'b0; d2 <= 4'b0; d3 <= 4'b0; d4 <= 4'b0;  
  160.       d5 <= 4'b0; d6 <= 4'b0; d7 <= 4'b0;  
  161.     end  
  162.   else if(latch_en) //锁存信号有效时,将计数器的输出信号锁存至锁存器  
  163.     begin  
  164.       d0 <= q0; d1 <= q1; d2 <= q2; d3 <= q3; d4 <= q4;  
  165.       d5 <= q5; d6 <= q6; d7 <= q7;  
  166.     end  
  167.      else  //上面两种情况均未发生时,输入不变  
  168.     begin  
  169.       d0 <= d0; d1 <= d1; d2 <= d2; d3 <= d3; d4 <= d4;  
  170.       d5 <= d5; d6 <= d6; d7 <= d7;  
  171.     end  
  172.   
  173. endmodule  

测试文件为:

  1. `timescale 1ns/1ps  
  2. //测试模块  
  3. module freDetect_tb;  
  4.   parameter CLK_1HZ_DELAY = 5_0000_0000; //1Hz基准信号  
  5.   parameter FIN_DELAY = 100;             //5MHz待测信号  
  6.   reg clk_1Hz;  
  7.   reg fin;  
  8.   reg rst;  
  9.     
  10.   wire[3:0] d0, d1, d2, d3, d4, d5, d6, d7;  
  11.     
  12.   initial  
  13.     begin  
  14.       rst =1'b0;  
  15.       #1 rst = 1'b1;  
  16.     end  
  17.       
  18.   initial  
  19.     begin  
  20.       fin = 1'b0;  
  21.       forever  
  22.       #FIN_DELAY fin = ~fin;  
  23.     end  
  24.       
  25.   initial  
  26.     begin  
  27.       clk_1Hz = 1'b0;  
  28.       forever  
  29.       #CLK_1HZ_DELAY clk_1Hz = ~clk_1Hz;  
  30.     end  
  31.       
  32.     freDetect freDetect1(.clk_1Hz(clk_1Hz), .rst(rst), .fin(fin),  
  33.     .d0(d0), .d1(d1), .d2(d2), .d3(d3), .d4(d4), .d5(d5), .d6(d6), .d7(d7));  
  34.       
  35.      
  36. endmodule  

在Modelsim中仿真得到的波形图为:


看不清,再截一张:


猜你喜欢

转载自blog.csdn.net/Reborn_Lee/article/details/80377207