Vivado IP核clocking wrizard使用指南

Vivado IP核clocking wrizard使用指南

clocking wrizard可用于时钟的分频、倍频,在工程中需要多个时钟时,通常选用IP核由主时钟产生其他时钟。

该IP核中包含CMMC、PLL,两者各有所长。

下面使用CMMC进行讲述。

1、选择IP核clocking wrizard。

2、输入主时钟,如果是单端时钟只需输入一个。

3、输入需要的名称1、时钟2,相位3,命名尽量区别开如;clk_50MHZ,clk_50MHZ_180.

4、复位方式选择低电平复位。

5、点击OK,生成IP核。

6、例化 clocking wrizard,如下:

clk_wiz_0 colck_inst
    (
     // Clock out ports
     .clk_out1(clk_50MHZ),     // output clk_out1
     // Status and control signals
     .resetn( 1'b1), // input resetn
     .locked(sys_reset_n),       // output locked
    // Clock in ports
     .clk_in1(sys_clk_i));      // input clk_in1

其中当该分频器不产生时钟时locked=0,产生时钟时clocked=1,所以可以用作产生复位信号,把clocking wrizard的复位始终拉高。

发布了22 篇原创文章 · 获赞 19 · 访问量 2万+

猜你喜欢

转载自blog.csdn.net/baidu_25816669/article/details/88819916
今日推荐