Vivado DDS IP核使用和仿真(一、单通道信号发生器)

版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。
本文链接: https://blog.csdn.net/u013215852/article/details/91042672

DDS Compiler(6.0)

1、打开IP核配置,parameter Selection选择System Parameters

2、设置System Parameters参数:

(1)Spurious Free Dynamic Range的设置,这个参数与输出数据的宽度相关

可以参考《LogiCORE IP DDS Compiler v6.0 Products Guide》,根据自己需要的输出数据宽度

在我的设计中,需要得到输出数据宽度16,因此设置Spurious Free Dynamic Range的值为:

16*6 = 96

(2)Frequency Resolution的设置,这个参数与输出相位数据的宽度相关,如果想要得到16bit的宽度,channels为1,系统输入时钟为120MHz

Frequency Resolution = 120000000/2^16 = 1831.0546875

设置完成后如图:

2.设置Phase Increment Programmability和Phase offset programmability为固定模式,输出为正弦波

关于output不同的选择,输出数据的格式如下:

此例程设置输出为sine,因此输出的16bit数据全为正弦波有效数据

3、Detailed Implementation选项卡不需要设置

4、Output Frequencies选项卡设置需要的输出频率,在此设置为1M

5、phase offset Angles通道1设置为0

6、最后两个选项卡由我们设置的参数生成,可以看到,结果与我们设置需求一致

7、功能仿真,IP核综合后,将下图选中文件设置为TOP,然后点击Run Simulation

8,仿真结果如下:

sin和cos同时输出模式

猜你喜欢

转载自blog.csdn.net/u013215852/article/details/91042672
dds
今日推荐