vivado IP核调用

在开发PL时一般都会用到分频或倍频,对晶振产生的时钟进行分频或倍频处理,产生系统时钟和复位信号,下面就介绍一下在vivado2017.3中进行PL开发时调用IP的方法。
    首先打开vivado2017.3新建一个RTL项目。
    点击Flow navigator的IP Catalog 选项,如下图所示:

在搜索框中输入clock;

如图;依次展开,找到clockingwizard;双击

出现如下提示

点击generate 进入配置界面

选用PLL(锁相环)

下拉;设置输入时钟

然后点击第二项output Clock设置输出,这里用两个输出,一个倍频,一个分频

之后OK;在source栏 IP source可看到加入了clk_wiz_0;

双击打开clk_wiz_0.veo可查看调用模板。如图所示;

现在就可以调用了,编写仿真测试文件

仿真如图,可以看到两个输出分别为100hz,25hz。

学习愉快!

发布了47 篇原创文章 · 获赞 17 · 访问量 6万+

猜你喜欢

转载自blog.csdn.net/liuzq/article/details/102608675
今日推荐