【verilog_6】: 设计一个 16 位串入并出移位寄存器

设计一个 16 位串入并出移位寄存器

author : Mr.Mao
e-mail : [email protected]

module shifter_s1p16(			//串行右移专并行输出
	input clk,
	input reset_n,
	input serial_in,
	output reg [15:0] parallel_out
);

	always@(posedge clk or negedge reset_n)
		if(!reset_n)
			parallel_out <= 0;
		else
			parallel_out <= {serial_in, parallel_out[15:1]};

endmodule 
发布了29 篇原创文章 · 获赞 4 · 访问量 1万+

猜你喜欢

转载自blog.csdn.net/qq_43403025/article/details/104092693
今日推荐