8位双向移位寄存器verilog设计

系统功能
设计一个8位双向移位寄存器,实现并行输入数据、数据左移、右移、清空数据的功能。

程序主要包括移位寄存器模块和按键去抖模块

① Key1 控制拨码输入:

a) key_flag1, key_state1实现Key1去抖(if(key_flag1 && (!key_state1)));
b) key_cnt [3:0]从0开始在每一次Key1 按下后加1,累积到3后再按下Key1, key_cnt 清零;
c) en_num1为高4位输入使能信号,en_num2为低4位输入使能信号,en_num3为输入确认使能信号(都为高水平有效);
d) key_cnt 的数值控制c)中3个使能信号的值;

② Key2 , Key3控制移位方向:

a) 按键去抖同Key1 a);
b) turn_left为左移使能信号,turn_right为右移使能信号(都为高水平有效);
c) key_cnt 的数值控制c)中3个使能信号的值;

③ Clk 同步时钟信号,Reset异步清零:

 本程序用verilog编写,在quartusII上完成。


 

猜你喜欢

转载自blog.csdn.net/QQ_778132974/article/details/111824577