IC入门必看| 数字IC前端设计学习路线与方法(内附学习视频)

众所周知,数字前端设计对于工程师的能力要求比较高,不仅有学历上的要求,还要求掌握很多的知识技能。

数字前端到底是什么?

集成电路设计(Integrated Circuit,简称IC)一般分为数字IC设计、模拟IC设计和数模混合IC设计。

数字IC设计一般又会进一步细分为前端设计(Front-end Design)和后端设计(Backend Design)。

前端数字IC工程师的工作一般包括电路规范(Spec.)的撰写,电路架构的设计,电路的实现以及验证、综合出符合要求的电路网表(netlist),最后按一定的工作规范要求提交netlist 给后端部门。

在这里插入图片描述

数字前端设计工程师需要具有什么能力?

数字前端设计工程师必备技能

1、熟悉数字电路设计
2、熟悉Verilog或VHDL
3、熟悉异步电路设计
4、熟悉FIFO的设计
5、熟悉UNIX系统及其工具的使用
6、熟悉脚本语言Perl、Shell、Tcl等
7、熟悉C/C++语言、SystemVerilog、Matlab等
8、熟悉DC、VCS、Verdi、LEC、PT、Spyglass等工具的使用
9、有FPGA验证经验
10、熟悉CMOS电路原理
11、熟悉数字信号处理算法、通信算法、图像算法、人工智能算法等中的一种或多种
12、熟悉计算机体系结构、熟悉通用MCU/SOC设计流程
13、熟悉各种总线协议、接口协议等14、有相关芯片设计/流片经验

对于刚入门的人来说,数字IC前端设计需要具备的能力:

扎实的Verilog功底
一般来说Verilog是问的最多的,Verilog写代码本身是很容易的,但想要写出高质量的代码,需要有一定的经验。

项目的debug能力
同样一个很简单代码,大家的水平都是不一样的。 这就需要能懂很多的协议,面试的时候水平也就体现了出来。

各种常见的IP都能够了解一下,因为写的代码在前端设计中,用于不同的地方(移动端、通讯芯片等),所以这个岗位需要懂很多的协议,要花费很多的时间了解各种总线,各种架构。

这个岗位的要求虽然比较高,但相比于后端,验证这些岗位掌握的技能反而没那么多,但需要掌握的更扎实。

数字前端设计推荐书籍

《Verilog HDL高级数字设计(第2版)》
作者:西乐提(MichaelD.Ciletti)
出版社:电子工业出版社

在这里插入图片描述

书里对如何用Verilog HDL对数字系统进行建模、设计、验证讲的很详细,涵盖了RISC、UART、异步FIFO、数字信号处理、乘法器和触发器相关知识。对ASIC/FPGA系统芯片工程设计开发的关键技术与流程也进行了深入讲解。

对于前端设计来说,这本书对code水平提升很有帮助,大家可以试着做个简单但完整的设计。

《数字集成电路:电路、系统与设计(第2版)》
作者:拉贝尔(Jan M.Rabaey)
出版社:电子工业出版社

在这里插入图片描述

这本书是美国加州大学伯克利分校的经典教材,也是国内高校的参考教材和考研参考书。书里详细地介绍了MOS管原理、CMOS组合逻辑、时序逻辑、加法器乘法器等运算单元、存储结构、以及时序、互连、电路寄生效应,包含充分的理论分析和电路结构图。

想要成为一名数字数字前端设计工程师,不仅需要扎实的知识技能,还要有相关的项目经验。如果你只会理论知识但是无法落地到真正的项目操作,“纸上谈兵”是没有用的。

数字前端设计学习视频

如果你想成为一名数字IC设计工程师,不妨先跟行业的工程师了解一下岗位的学习难度,薪资,就业这些信息,再做抉择。

这里放个入口:IC入行指导

猜你喜欢

转载自blog.csdn.net/coachip/article/details/134885016
今日推荐