奋斗的小孩系列 FPGA学习altera系列: 第七篇 添加激励及功能仿真操作

奋斗的小孩系列 FPGA学习altera系列: 第七篇 添加激励及功能仿真操作

作者:奋斗的小孩 郝旭帅(转载请注明出处)

大侠好,欢迎来到FPGA技术江湖,江湖偌大,相见即是缘分。大侠可以关注FPGA技术江湖,在“闯荡江湖”、"行侠仗义"栏里获取其他感兴趣的资源,或者一起煮酒言欢。

今天给大侠带来“FPGA学习系列altera"系列,持续更新。

此学习心得是本人之前所写,所用设计软件为Quartus II 13.1,现Quartus 新版本已更新到20+,以下仅供初学者学习参考。后续会更新其他系列,敬请关注。话不多说,上货。

 

第七篇 添加激励及功能仿真操作

 

添加激励

写完了设计和激励的代码,我们还是不能够去仿真。设计和激励都是verilog DHL 的文件,软件也分不清楚那个是设计文件、哪个是激励文件。下面我们就来告诉“它“哪个是激励文件。

1.打开Assignments,点击settings。

2.在左侧列表中,点击:EDA TOOLS Seetings-> simulation。

3.选中Compile test bench ,然后点击Test Benches。

猜你喜欢

转载自blog.csdn.net/qq_40310273/article/details/113804054