奋斗的小孩系列 FPGA学习altera系列: 第二十四篇 BCD转二进制

奋斗的小孩系列 FPGA学习altera系列: 第二十四篇 BCD转二进制

作者:奋斗的小孩 郝旭帅(转载请注明出处)

大侠好,欢迎来到FPGA技术江湖,江湖偌大,相见即是缘分。大侠可以关注FPGA技术江湖,在“闯荡江湖”、"行侠仗义"栏里获取其他感兴趣的资源,或者一起煮酒言欢。

今天给大侠带来“FPGA学习系列altera"系列,持续更新。

此学习心得是本人之前所写,所用设计软件为Quartus II 13.1,现Quartus 新版本已更新到20+,以下仅供初学者学习参考。后续会更新其他系列,敬请关注。话不多说,上货。

 

BCD转二进制

对于每一个的小实验,我们都可以把它看作是一个小项目,逐步的去分析,设计,调试,最后完成功能。下面我们就开始我们的“小项目”。

项目名称:BCD转二进制

具体要求:将12位的BCD码转成二进制。

项目分析:12位的BCD码,表示最大的数值为“999”,故而需要10位的二进制来表示。

 

架构图

架构图如下:

bcd_data[11:0]:输入的BCD码。

bin_data[9:0]:输出的二进制数值。

 

系统设计:

1.工程的名称:bcd_to_bin

2.实现方法:使用组合逻辑实现

猜你喜欢

转载自blog.csdn.net/qq_40310273/article/details/113981922