奋斗的小孩系列 FPGA学习altera系列: 第二十二篇 modelsim的若干问题

奋斗的小孩系列 FPGA学习altera系列: 第二十二篇 modelsim的若干问题

作者:奋斗的小孩 郝旭帅(转载请注明出处)

大侠好,欢迎来到FPGA技术江湖,江湖偌大,相见即是缘分。大侠可以关注FPGA技术江湖,在“闯荡江湖”、"行侠仗义"栏里获取其他感兴趣的资源,或者一起煮酒言欢。

今天给大侠带来“FPGA学习系列altera"系列,持续更新。

此学习心得是本人之前所写,所用设计软件为Quartus II 13.1,现Quartus 新版本已更新到20+,以下仅供初学者学习参考。后续会更新其他系列,敬请关注。话不多说,上货。

 

modelsim的若干问题

仿真对于FPGA设计来说至关重要,我们经常使用modelsim来进行功能仿真或者时序仿真,这样就需要将modelsim和设计软件(quartus ii)联系起来,下面是设计者在使用modelsim时可能会遇到的问题。

 

1.路径的问题

在需要仿真时,设计往往是通过设计软件来打开modelsim,这样的话,就需要在设计软件中添加modelsim的路径,如果路径错误或者没有填写路径,那么将会出现如下错误界面:

如果出现上述界面的话,大家首先应该考虑的是路径错误,修改路径方法如下:

1)点击Tools->options。

猜你喜欢

转载自blog.csdn.net/qq_40310273/article/details/113980823
今日推荐