奋斗的小孩系列 FPGA学习altera系列: 第十三篇 频率计设计

奋斗的小孩系列 FPGA学习altera系列: 第十三篇 频率计设计

作者:奋斗的小孩 郝旭帅(转载请注明出处)

大侠好,欢迎来到FPGA技术江湖,江湖偌大,相见即是缘分。大侠可以关注FPGA技术江湖,在“闯荡江湖”、"行侠仗义"栏里获取其他感兴趣的资源,或者一起煮酒言欢。

今天给大侠带来“FPGA学习系列altera"系列,持续更新。

此学习心得是本人之前所写,所用设计软件为Quartus II 13.1,现Quartus 新版本已更新到20+,以下仅供初学者学习参考。后续会更新其他系列,敬请关注。话不多说,上货。

 

第十三篇 频率计设计

对于每一个的小实验,我们都可以把它看作是一个小项目,逐步的去分析,设计,调试,最后完成功能。下面我们就开始我们的“小项目”。

项目名称:频率计

具体要求:检测方波的频率和占空比。

通过分析上述的“项目名称”和“具体要求”,我们可以设计出如下的架构:

wave:方波输入

freq:测试出的方波频率

duty_cycle:测试出的方波占空比

wave是经过处理后的数字信号,不是外界的模拟信号。

系统设计:

1.工程的名称:freq_meter。

2.测试出高电平的时间和低电平的时间,然后经过计算得出频率和占空比。

猜你喜欢

转载自blog.csdn.net/qq_40310273/article/details/113805932