奋斗的小孩系列 FPGA学习altera系列: 第二十篇 呼吸灯设计

奋斗的小孩系列 FPGA学习altera系列: 第二十篇 呼吸灯设计

作者:奋斗的小孩 郝旭帅(转载请注明出处)

大侠好,欢迎来到FPGA技术江湖,江湖偌大,相见即是缘分。大侠可以关注FPGA技术江湖,在“闯荡江湖”、"行侠仗义"栏里获取其他感兴趣的资源,或者一起煮酒言欢。

今天给大侠带来“FPGA学习系列altera"系列,持续更新。

此学习心得是本人之前所写,所用设计软件为Quartus II 13.1,现Quartus 新版本已更新到20+,以下仅供初学者学习参考。后续会更新其他系列,敬请关注。话不多说,上货。

 

第二十篇 呼吸灯设计

对于每一个的小实验,我们都可以把它看作是一个小项目,逐步的去分析,设计,调试,最后完成功能。下面我们就开始我们的“小项目”。

项目名称:呼吸灯

具体要求:led灯在由亮到暗以及由暗到亮的逐渐变化。

项目分析:

1.要求分析

灯光在微电脑的控制之下完成由亮到暗的逐渐变化,感觉好像是人在呼吸。根据不同人群以及不用情况下的呼吸频率不同,笔者这里采取一分钟呼吸15次,呼气2秒钟,吸气2秒钟。

2.实现原理

由于LED的亮度与流过的电流成正比,如果能够去控制流经LED的电流,使电流在2秒钟内从i=0A(0A:0安培)逐渐递增到i=XA(XA:一定的电路强度),就实现了LED的由暗到亮的逐渐变化;使电流在2秒钟内从i=XA逐渐递增到i=0A就实现了LED的由亮到暗的逐渐变化。

猜你喜欢

转载自blog.csdn.net/qq_40310273/article/details/113806199
今日推荐