ISE、ModelSimの協調シミュレーションとVIVADO

QuartusでのModelSimと協調シミュレーションの導入前に、これは協調シミュレーションISE / VIVADOとModelSimのを紹介します

ISEの設定とVIVADO基本的に同じことが、ここで私はISEと思い、例えば、何のISEのModelSimの統合インストールパッケージはありません、あなたはまず、ModelSimのをダウンロードする必要があります
私の環境:ザイリンクスISE Design Suiteのは、14.7(D Windowsの10 64魏、 :\ ザイリンクス\ 14.7)、SE-64はModelSim 10.1c
(D:\ modeltech64_10.1c)。

私がインストールされているのでModelSimのSEは、ジェネリック版である少数のシミュレーションライブラリ(シミュレーションライブラリ)が付属していますので、ザイリンクスシミュレーションライブラリをコンパイルする必要があります。唯一のXEは、イン​​ストールした場合XEのバージョンがシミュレーションライブラリをコンパイルする必要はありません、だけでザイリンクスシミュレーションライブラリを付属しています。ライブラリはあなたが元を使用したい場合は、あなたが百度を所有することができ、あなたはまた、彼らは、元の話をコマンドラインを使用することができ、ISEインターフェイスとツールを使用することができますコンパイルされ、実際には、2つの原則は同じです。コンパイルされたライブラリツールを開き、「シミュレーションライブラリのコンパイルウィザード」:「スタート7 openメソッドを勝つ - すべてのプログラム-Xilinx ISE Design Suiteの14.7(異なるバージョンが異なる)-iseデザインツール-64ビットツール(32ビット、32ビットのツールを選択)-Simulationライブラリのコンパイルウィザード」。

図のオープンは、Win10を次の

 

あなたがインストール良いModelSimのバージョンを選択するには、以下のツール、「選択シミュレータ」を開いた後、ここで私は、「参照」「ModelSimのSE」、ファイル「シミュレータ実行ファイルの場所は、」Modelsim.exeポイントは次のフォルダに記入選びますボタンは、私がここに持っている、また、追加することは、「Dです:\ modeltech64_10.1c

\ Win64の「(引用符で、以下、特に断らない限り同じ)、以下の図、
および次へ

言語を選択すると、コンパイルから選択する必要が後」「VHDLおよびVerilogの両方、両方は、一般的に使用しなければなりません、[次へ]。

次は、デバイスを選択することで、デフォルトでは、あなたが本当にそれを選択しないアクセス権を持っていないものがある場合、すべてを選択することで、そう示し、または次のようにして、いくつかの時間とディスクスペースを節約することができます。

ライン上の次のデフォルトでは、全体の選挙は、次の2行は、それは、次の追加のライブラリを追加することで、空白のまま。

このステップでは、デフォルトが必要とされる次の出力コンパイルされたライブラリ、埋める「コンパイルされたライブラリの出力ディレクトリ」のパスでより重要です。限り、同じバージョンとして、次の直接使用、リロードが恐れていない、新しい別のフォルダ、良い経営を作成するための個人的な提言。しかし、フォルダの名前とパス全体は、中国語やスペースを持って覚えて、覚えていてはいけません!私はここにある "D:\ modeltech64_10.1c \ Xilinx_lib" です。図に示すように、他のオプションは、デフォルトで、次に「起動コンパイルプロセス」を指すことができます。

その後、彼はいくつかのビルドログ、次に、[完了があるだろうコンパイル後の待機をコンパイルし始めました。限りのErrにならないよう、無視警告します。Errは、次のバージョン正しい道を見直す場合は何も中国やスペースはありません。

関連するISEとはModelSimの次のセット。「Dでは:\ザイリンクス\ 14.7 \

modelsim.ini ISE_DS \ EDK "で見つかったフォルダ" "" D:\ザイリンクス

\ 14.7「のmodelsim.ini「これは、インストールディレクトリを入力して検索するためには不可能である、あなたのISEのインストールディレクトリです」、

オープン、「のmodelsim.ini」メモ帳で自動ラインの機能、メニューの「フォーマット - ラップは」とき、変更しないフックを削除します。

找到“[Library]”,下面一行的“others = $MODEL_TECH/../modelsim.ini”和行的开头的分号(;)
的全部都无视。那些行开头没有分号的,格式一般是“X···X = 路径”,一直到“[vcom]”之上的都要,全部复制。

然后在 Modelsim 的安装目录下,我这儿是“D:\modeltech64_10.1c”,找到“modelsim.ini”,名字一样。
先去换个文本编辑工具吧,用记事本打开它会是乱糟糟的一片,没法改。打开后,同样是找到“[Library]”,
在它的下面粘贴上刚刚复制的那一大段东西,注意,行开头不要有分号(;),而且人家原有的就别动
它,别删掉了。只要在“[Library]”和“[vcom]”之间粘贴就行,然后保存。

打开 ISE,“开始—所有程序—Xilinx ISE Design Suite 14.7(版本不同就不同)—ISE Design Tools—64-bit

Project Navigator”,

然后在 ISE 的菜单上“Edit—Preferences…”调出 Preferences 设置窗口,在左边的“Category”下选中“ISE
General—Integrated Tools”。在 Integrated Tools 项设置中,右边的“Model Tech Simulator:”下面填入Modelsim.exe 的 文 件 路 径 , 点 旁 边 的 “.. ” 按 键 选 中 Modelsim.exe 也 行 ,

我 这 儿 是 “ D:\modeltech64_10.1c\win64\modelsim.exe ”( 不 要 双 引 号 ) 。如图。


到现在已经准备好了,接下来就是使用它了。新建一个工程,就不多说了,注意在“Simulator”一项选“Modelsim-SE Mixed”,“Mixed”支持两语言,“SE”是版本,选你自己对应的就成。

忘了选或想重新选的在Project –Design Properies…重新配置。
新建你的 VHDL 或 Verilog 文件,这个不多说。 如果是 VHDL 文件,则要打开两个库。 在菜单上“Edit—Preferences…”调出 Preferences 设置窗口,选中“Category”下面的“ISE Text Editor”,之后在右边“ISE Text Editor”设置中下面的那个大白框里有四行代码,把后面两行,“use IEEE.STD_LOGIC_ARITH.ALL;”
和“use IEEE.STD_LOGIC_UNSIGNED.ALL;”复制一下,然后粘贴在你的 VHDL 文件的库声明中,也就是打开这些库。完成之后,切换到仿真模式,就是点工程上面的“Simulation”,可能不同版本会不一样, ISE9.1i 是在 “Source for” 的下拉菜单中选择 Behavioral simulation, 不过意思明白就行。 建立 Test Bench 文件, 若
是 VHDL 的, 也按上面说的处理一下,就是把那两个库声明一下。在“Hierarchy”框选中 Test Bench 文件,在下面的那个框中点“Modelsim Simulator”前的加号。展开得到“Simulate Behavioral Model”,在它上面点右键,选“Process Properties…”,如图 27。这里如果直接选“Run”可能会出现如图 28 的警告,如果不出现警告而且能调用 Modelsim 仿真,那恭喜你成功了,不然就接着看吧。有警告时,如果前面的设置没问题,直接点“No”就可以用 Modelsim 仿真了。为了搞定这个警告,咱们接着整。把"D:\modeltech64_10.1c\modelsim.ini"复制到你所建的工程目录下也能搞定这个警告,不过这样太麻烦,每次都得复制,还是往下看吧。选“Process Properties…”后弹出 Process Properties
设置窗口,在右边的“Compiled Library Directory”后面填入之前编译库时设置的已编译库的路径,点旁边的“…”按钮来选定也行。如果编译库时使默认的路径,那这里就不用改了,不过得把下面的“Ignore Pro-Compiled Library Warning Check”给勾上,不然也可能有警告,反正我用默认路径时就是有警告,不知道为什么。其实只要你前面设置的正确了,这里直接把“Ignore Pro-Compiled Library Warning Check”给勾上,路径都不设也是可以的,搞定后点“Ok”。

在“Simulate Behavioral Model”上点右键,选“Run”就可以调用 Modelsim 进行仿真了。

おすすめ

転載: blog.csdn.net/superyan0/article/details/90669586