Quartus付きのModelSimの協調シミュレーション

私は、オンラインModelSimのシミュレーションを使用する方法を求めて多くの人々を見て、彼らはあきらめた後にライブラリーを関連付ける方法、ModelSimの中で多くのプロジェクトを構築する方法を学び、実際には、私はこのような問題が発生したが、幸い大きな神の指導があり、関節のを知ってエミュレーションの概念は、あまり回り道をたくさん取る、ここで私はModelSimでFPGA開発環境のコシミュレーションをご紹介しています。

いわゆるFPGA開発環境とファクトのQuartusのISE / vivadoには、今のQuartus統合したインストールパッケージには、ISE / vivadoまたは外部のコールに、それをインストールしてください。

  1. QuartusとのModelSimの協調シミュレーション

最初のQuartusとModelSimの統合インストールパッケージをインストールし、私は、Quartusプライム16.0をインストールしました。

そして、新たな建設は、新しいプロセスはModelSimのアルテラEDAツールの設定時にシミュレーションするように設定することができます。下記に示すように、

またはAssignments->設定>作成したプロジェクト後のModelSim-アルテラのシミュレーションを設定するEDAツール設定。下記に示すように、

ModelSimのパスについての設定、通常、統合された環境を自動的に外国を防止または外観を設定するように構成されて、ツール - >オプション - >一般 - > EDAツールオプション - >はModelSim-アルテラはバックパスを選択し、[参照]をクリックしMODELSIMそして、私がインストールさCドライブインチ 下記に示すように、

次のステップは、関連したテストベンチを設定することです、あなたは包括的に完了することを提供すると、Quartusによって生成され、自動的に独自のテストベンチ、勧告を書いたりすることができます。

部加工>スタート - >スタートテストベンチテンプレート書き込み、自動的に生成され、次のトップレベルのファイル名がテストの.Vtあり、自動的にModelSimのフォルダを持っているプロジェクトディレクトリのフォルダ内のシミュレーションを生成しますクリックベンチファイル。

生成test bench后在Assignments->Settings->EDA TOOL Settings->Simulation配置关联信息。如下图

选中Compile test bench,点击Test Benches。

点击New。

系统自动生成的test bench 一般是顶层文件名_vlg_tst,例化名是i1,这些都可以改,我一般是将test bench 模块名改为顶层文件名_sim, 例化名改为uut。

仿真时间可以不填,我一般是填个10us,然后配置test bench路径,设置后点击add,然后一路ok,就设置完成了。

最后就是在quartus 调出modelsim了,Tools->Run Simulation Tool->RTL Simulation。等待一会,modelsim波形窗口就会弹出来了,如果没有反应,就返回去检查一下配置。

 

おすすめ

転載: blog.csdn.net/superyan0/article/details/90669366