ModelSimでのシミュレーションの基本的なコマンド

シミュレーションコマンド

vlib work                   // 建库(在该目录下建立一个work目录,请注意不要用操作系统来新建一个work的文件夹,因为用操作系统建立的work文件夹并没有ModelSim SE自动生成的_info文件)
vmap work work              // 映射(将目前的逻辑工作库work和实际工作库work映射对应)
vlog *.v                    // 编译所有后缀为.v的文件
vsim Lab_tb                 // 仿真(模块名称)
add wave /*                 // 将camera_tb下的所有信号变量加入到wave窗口中,注意”*”前必须有空格
run 2000                    // 或者用run –all等运行
view dataflow               // 用navigate nets观察dataflow,不想观察就可以不加这条指令
quit -f/-force/-sim         //该命令分别是退出ModelSim(-f/-force)和退出仿真(-sim)

ビューdatalflow

あなたは、プロセスによって形成されたファイル全体のデータを、個々のモジュール間の論理接続を見ることができます。具体的な方法は、すべてのネットは一般的に、最初のモジュールのために、様々なモジュール間の論理接続を観察することができるビューのデータフローウィンドウ]メニューの[追加]をクリックします内のファイルを開くことができるシミュレーションコマンドビューdatalflowデータフローの後に実行され、常にモジュール、割り当てモジュールなど。モジュールをクリックして、モジュールターンは赤。ビューのポップアップメニューで、この時間をクリックして、あなただけの可変信号モジュール上でクリックして表示された信号変数波ウィンドウが、あなたはまた、シミュレーションの実行をクリックすることができます。この時間が含まれていることを除いて、ウィンドウの下部にある波の波形ウィンドウを表示することができます-allこのモジュールの入出力関係をシミュレートするための小さなアイコン。

書き込み絵は、ここで説明しました

file.do

ModelSimのは、バッチファイルを実行することができますでは、ファイルは、DOSでの.batファイルの同等、file.doです。あなたのシミュレーションを簡素化するために、バッチモードで使用することができ、具体的なアプローチは次のとおりです。次のよう、Lab.doファイルを編集するために、あなたは上記の例の話を一緒に実行したいコマンドを編集します:

vlib work
vmap work work              
vlog *.v                
vsim -novopt Lab_tb                 
add wave /*             
run -all

上記を保存した後、各コマンドが自動的に実行動作をシミュレートすることができますLab.doください。

公開された146元の記事 ウォンの賞賛244 ビュー101万+

おすすめ

転載: blog.csdn.net/GSH_Hello_World/article/details/78726980