UVM平台搭建

随笔:UVM平台.pdf (550.07 KB)
下载次数: 85
2015-4-1 16:33
下载消耗 资产 1 信元 下载支出 -1 信元
(贴出来的东西,图片显示不了,pdf是对应的详细教程)
也许平台不是很难,但是网上没有UVM在VCS中的详细教程,但是对于初学者就是一道屏障,我探索了几天,下文将一步一步的举例子说明UVM+VCS+Verdi的liunx平台搭建过程(假设你已经安装好VCS和verdi)、和Questa-sim+UVM的window平台搭建。

UVM+VCS+Verdi基本平台:
准备:UVM库,网上很多,我们只需要一个版本的库即可,这里我上传了uvm-1.1d.tar.gz (3.07 MB)
下载次数: 65
2015-4-1 16:27
下载消耗 资产 1 信元 下载支出 -1 信元
,uvm-1.1a.tar.gz (2.65 MB)
下载次数: 69
2015-4-1 16:26
下载消耗 资产 1 信元 下载支出 -1 信元
。这里以1-1a为例子说明。
第一步:把uvm-1.1a.tar.gz放在linux系统中,放入后在进行解压。得到uvm-1.1a文件夹,该路径是库所在路径。放在什么地方无所谓,你一定要知道在哪里。

同时在.bashrc文件里面配置环境变量。在.bashrc文件中填加这句话。

第二步:如下图,进入example目录,发现Makefile.vcs文件,该文件对于所有验证平台公用,里面主要是对UVM库进行编译。

第三步:进入ubus/examples文件,该文件在利用《Systemverilog+UVM搭建SOC及ASIC的RTL验证环境.pdf文档》利用Systemverilog+UVM搭建SOC及ASIC的RTL验证环境.pdf (2.93 MB)

下载次数: 111
2015-4-1 16:34
下载消耗 资产 1 信元 下载支出 -1 信元
中有对该例子的讲解。可以看到该文件夹下面有Makefile.vcs文件,该文件是针对本设计的makefile文件,会调用前一个。
第三步:输入命令:make –f Makefile.vcs。如果出现如下图的结果,则平台正确。。

Makefile.vcs讲解
通过前面的例子证明我们的UVM平台已经可以使用,在example里面有很多例子,这两个文件夹里面的例子都进入文件夹内部执行make –f Makefile.vcs既可以运行,可以帮助我们学习。

其实我们在自己的实战过程中可以把上文中提到两个Makefile.vcs文件的内容复制到一个makfile文件中,hello_demo.rar (3.5 MB)

下载次数: 72
2015-4-1 17:52
下载消耗 资产 1 信元 下载支出 -1 信元
该文件夹是张强UVM源码指南里面的例子,我把他在VCS中实现,用Verdi打开波形,下面的两个文件是新的makefle文件。。我们只需要输入make+红色的关键字(all、comp、run等)就可以执行对应的命令。

细心的可以发现,上面和平后的makefile文件和原来的两个Makefile.vcs不同之处是在VCS,后的选项中加入了-fsdb_old选项,这里是为了生成fsdb波形文件。

为了和-fsdb_old选项一致,我们需要在顶层加入文件(hello_tb_top)生成fsdb波形文件的函数

在第4行,make all中我们可以根据自己的需要选择执行的命令。我这里每次all,先clean,然后编译,然后执行,然后自动打开verdi文件。加入fsdb文件,我这里ctrl+w不行(求高手解决),不过get_all_signals后可以看到所有波形。

Questa-sim+UVM的window平台
参考《UVM初级开发指南.pdf》,这部分在eetop下载下来的其它人上传的材料,个人觉得不错。这里也上传吧。UVM初级开发指南.pdf (1.2 MB)

原文链接:https://blog.csdn.net/u014703817/article/details/45671969

发布了50 篇原创文章 · 获赞 5 · 访问量 2689

猜你喜欢

转载自blog.csdn.net/qq_43042339/article/details/103842179