[UVM]UVM Sequencer

                                UVM Sequencer

  • The sequencer controls the flow of request and response sequence items between sequences and the driver
  • Sequencer and driver uses TLM Interface to communicate transactions
  • uvm_sequencer and uvm_driver base classes have seq_item_export and seq_item_port defined respectively. User needs to connect them using TLM connect method.

一、Example:

driver.seq_item_port.connect(sequencer.seq_item_export);

       A sequencer can be written by extending the uvm_sequencer parameterized with the seq_item type.

                

二、UVM Sequencer Code

class mem_sequencer extends uvm_sequencer#(mem_seq_item);
 
   `uvm_sequencer_utils(mem_sequencer)
      
  function new (string name, uvm_component parent);
    super.new(name, parent);
  endfunction : new
 
endclass : mem_sequencer
发布了185 篇原创文章 · 获赞 118 · 访问量 4万+

猜你喜欢

转载自blog.csdn.net/gsjthxy/article/details/105231951
今日推荐