[原创]SPI 协议介绍以及基于 Verilog 的 IP 核实现

|
| I_clk | 1 | 输入 | 模块时钟信号 |
| I_rst_n | 1 | 输入 | 模块复位信号,低有效 |
| I_en | 1 | 输入 | 模块使能信号,高使能 |
| O_spi_clk | 1 | 输出 | SPI的时钟信号SCLK |
| O_spi_cs_n | 1 | 输出 | SPI的片选使能信号CSn |
| IO_spi_data | 1 | 双向端口 | SPI的数据信号(三线制) |
| I_tx_flag | 1 | 输入 | SPI的发送信号,高有效 |
| I_tx_data | 由配置决定 | 输入 | SPI的发送数据 |
| I_rx_flag | 1 | 输入 | SPI的接收信号,高有效 |
| O_rx_data | 由配置决定 | 输出 | SPI的接收数据 |
| O_rx_dval | 1 | 输出 | SPI接收数据的数据有效信号,高有效 |

下面直接给出代码的下载链接[点此]好了,其中三线制下模式 0 已经经过验证,其它各种情况还未进行验证,后续验证后将更新文件。

猜你喜欢

转载自www.cnblogs.com/airbird/p/11455202.html