uvm macro (常用的)[uvm_info]

版权声明:本文为博主原创文章,未经博主允许不得转载。更多文章请关注xy_ee微信公众号哦! https://blog.csdn.net/weixin_41241296/article/details/79383663

`uvm_info(1,2,3)

1:ID

2:MSG

3:VERBOSITY

如果VERBOSITY级别低于reporter组件定义的级别,就会调用uvm_report_info

举例:

-->    `uvm_info("DRV_RUN",{req.sprint()},UVM_HIGH)

-->    `uvm_info("MY_INFO",$sformatf("pval:%0d",val),UVM_LOW)

也可以自行定制:

`uvm_info_begin("MYID","this is a message for test...",UVM_LOW)

    `uvm_message_add_tag("author”,ZHUHAI)

    `uvm_message_add_object(my_obj)

`uvm_info_end

    

猜你喜欢

转载自blog.csdn.net/weixin_41241296/article/details/79383663