Gardner环数控振荡器

版权声明:本文为博主原创文章,未经博主允许不得转载。 https://blog.csdn.net/xxxxppppp/article/details/82155011

Gardener环中的数控振荡器与锁相环路中的NCO功能完全不同,这里的NCO作用是产生时钟,即确定内插基点mk,同时完成分数间隔uk的计算,以提供给内插器进行内插。
位同步环路中的数控振荡器(NCO)是一个相位递减器,它的差分方程为:

η(m+1)=[η(m)-ω(m)]mod1

式中,η(m)是第m个工作时钟NCO寄存器的内容,ω(m)为NCO的控制字,两者都是正小数。NCO的工作周期是T s(采样周期),内插器的周期为T i,ω(m)由环路滤波器进行调节,使NCO在最佳采样时刻溢出。当环路达到平衡时,ω(m)近似是个常数,此时平均每隔1/ω(m)个采样周期,NCO就溢出一次,所以


ω(m)≈T s/T i


这里写图片描述


这里写图片描述

可得,

这里写图片描述


个人愚见:《数字调制解调技术的MATLAB与FPGA实现》中的配套程序有点问题,仿真结果图为:


这里写图片描述

从仿真结果中可以看到,nkt_disp取值有“1_1110_0000”,取负值,这种结果是不正确的,在整个运算结果中,多了一个结果。

修改之后:


这里写图片描述

猜你喜欢

转载自blog.csdn.net/xxxxppppp/article/details/82155011
今日推荐