Tardis(A new memory coherence protocol,一种新的缓存一致性协议)

Tardis
(A new memory coherence protocol,一种新的缓存一致性协议)


目录



Abstract—A new memory coherence protocol, Tardis, is proposed. Tardis uses timestamp counters representing logical time
as well as physical time to order memory operations and enforce
sequential consistency in any type of shared memory system.
Tardis is unique in that as compared to the widely-adopted
directory coherence protocol, and its variants, it completely
avoids multicasting and only requires O(logN) storage per
cache block for an N-core system rather than O(N) sharer
information. Tardis is simpler and easier to reason about, yet
achieves similar performance to directory protocols on a wide
range of benchmarks run on 16, 64 and 256 cores.
Index Terms—coherence; timestamp; scalability; sequential
consistency;



1、 Tardis: Time Traveling Coherence Algorithm for Distributed Shared Memory, http://people.csail.mit.edu/devadas/pubs/tardis.pdf
2、 First new cache-coherence mechanism in 30 years, http://news.mit.edu/2015/first-new-cache-coherence-mechanism-30-years-0910
3、

猜你喜欢

转载自lobin.iteye.com/blog/2329693