计算机组成原理期末复习

计算机组成原理期末复习
1,3章:
1下列描述中,正确的是   B       。
A、控制器能理解、解释并执行所有的指令及存储结果。 
B、一台计算机包括输入、输出、控制、存储及算术逻辑运算5个子系统。
C、所有的数据运算都在CPU的控制器中。 
D、以上答案都正确。


2电子计算机的算术逻辑单元、控制单元及主存储器合称为    C      。
A、CPU
B、ALU
C、主机
D、CU


3计算机中有关ALU的描述,正确的是    D     。
A、只做算术运算,不做逻辑运算。
B、只做加法
C、能存放运算结果。
D、以上答案都不对


4冯诺依曼机工作方式的基本特点是    B     。
A、多指令流单数据流
B、按地址访问并顺序执行指令
C、堆栈操作
D、存储器按内容选择地址


5有些计算机将一部分软件永恒地存于只读存储器中,称之为   C      。
A、硬件    
B、软件     
C、固件     
D、存储器   
6计算机系统中的存储系统是指    D     。
A、RAM存储器
B、ROM存储器
C、主存     
D、主存和辅存    


7用以指定待执行指令所在地址的是   C      。
A、指令寄存器    
B、数据计数器    
C、程序计数器    
D、累加器   


8计算机与日常使用的袖珍计算器的本质区别在于  D       。
A、运算速度的高低   
B、存储器容量的大小    
C、规模的大小     
D、自动化程度的高低   


9用户与计算机通信的界面是    B     。
A、CPU   
B、外部设备     
C、应用程序    
D、系统程序 


10下列     D    属于应用软件。
A、操作系统   
B、编译程序    
C、连接程序    
D、文本处理程序 


11下列语句中正确的是   C      。
A、数据库属于系统软件    
B、磁盘驱动器只有输入功能    
C、评估计算机的执行速度可以用每秒执行的指令数为判断依据    
D、个人计算机是小型机 


12计算机的算术逻辑单元和控制单元合称为    C     。
A、ALU   
B、GPU    
C、CPU    
D、CAD  


13通常称容量为640K的存储器是指下列     D    。
A、640×103字节的存储器
B、640×103位的存储器
C、640×210位的存储器
D、640×210字节的存储器  


14一般8位的微型机系统以16位来表示地址,则该计算机系统有    C     个地址空间。
A、256   
B、65535    
C、65536   
D、131072  


15计算机中   B      负责指令译码。
A、算术逻辑单元   
B、控制单元   
C、存储器译码电路   
D、输入输出译码电路   


16 32位的个人计算机,一个字节由   B      位组成。
A、4   
B、8    
C、16   
D、32 


17执行最快的语言是   C      。
A、汇编语言    
B、高级语言   
C、机器语言     
D、C++


18存储单元是指   B      。
A、存放一个字节的所有存储元集合   
B、存放一个存储字的所有存储元集合     
C、存放一个二进制信息位的存储元集合    
D、存放一条指令的存储元集合  


19存储字是    A     。
A、存放在一个存储单元中的二进制代码组合    
B、存放在一个存储单元中的二进制代码位数    
C、存储单元的集合   
D、机器指令    


20     C    可区分存储单元中存放的是指令还是数据。
A、存储器   
B、运算器    
C、控制器    
D、用户  


21总线中地址线的作用是   C      。
A、只用于选择存储器单元
B、由设备向主机提供地址
C、用于选择指定存储器单元和I/O设备接口电路的地址
D、接收来自存储器和I/O设备的响应信号
22在三种集中式总线控制中,  C       方式响应时间最快。
A、链式查询
B、计数器定时查询
C、独立请求
D、三种一样快 


23在三种集中式总线控制中,     A    方式对电路故障最敏感。
A、链式查询
B、计数器定时查询
C、独立请求
D、三种一样敏感


24在计数器定时查询方式下,若每次计数从上一次计数的终止点开始,则    B     。
A、设备号小的优先级高
B、每个设备使用总线的机会相等
C、设备号大的优先级高
D、


25在计数器定时查询方式下,若计数从0开始,则   A      。
A、设备号小的优先级高   
B、每个设备使用总线的机会相等 
C、设备号大的优先级高
D、  


26在独立请求方式下,若有N个设备,则   B      。
A、有一个总线请求信号和一个总线响应信号
B、有n个总线请求信号和n个总线响应信号
C、有一个总线请求信号和n个总线响应信号
D、有n个总线请求信号和一个总线响应信号


27在链式查询方式下,若有N个设备,则    C     。
A、有n条总线请求线
B、无法确定有几条总线请求线
C、只有一条总线请求线
D、有3条总线请求线


28在各种异步通信方式中,     C    速度最快。
A、全互锁   B、半互锁
C、不互锁  D、一样快


29总线复用方式可以    C     。 
A、提高总线的传输带宽
B、增加总线的功能
C、减少总线中信号线的数量
D、提高总线的传输速度


30总线的异步通信方式   A      。
A、不采用时钟信号,只采用握手信号
B、既采用时钟信号,又采用握手信号
C、既不采用时钟信号,又不采用握手信号
D、采用时钟信号,不采用握手信号


1. 基于 存储程序  原理的冯诺依曼计算机工作方式的基本特点是  按地址访问并顺序执行指令  。
2. 指令 和 数据 都存放在存储器中, 控制器 能自动识别它们。
3. 计算机唯一能执行的语言是  机器语言  。
4. 计算机将存储、算术逻辑运算和控制三个部分合称为 主机  。
5. 指令的解释是由计算机的 控制器 来完成,运算器用来完成 算术逻辑运算 。
6. 存储器可分为主存和 辅存 ,程序必须存于 主存 内,CPU才能执行其中的指令。
7.在做手术过程中,医生经常将手伸出,等护士将手术刀递上,待医生握紧后,护士才松手。如果把医生和护士看做是两个通信模块,这些动作相当于 异步 通信中的 全互锁 方式。
8. 总线同步通信影响总线效率的原因是 必须按最慢速度的部件来设计公共时钟周期 。
9. 总线宽度是指 数据线的宽度 ,总线带宽是指 单位时间内总线上传输数据的位数 。
10. 在同步通信中,设备之间 没有 应答信息,数据传输在 公共时钟信号的控制 下进行。
11. 在异步通信中,没有固定的总线传输周期,通信双方通过 应答 信号联络。
12. 解释下列概念: 
存储单元:可存放一个机器字并具有特定存储地址的存储单位。
存储元件:存储一位二进制信息的物理元件,是存储器中最小的存储单位,又叫存储基元或存储元,不能单独存取。
存储字:一个存储单元所存二进制代码的逻辑单位。
存储字长:一个存储单元所存储的二进制代码的总位数。
存储容量:存储器中可存二进制代码的总量;(通常主、辅存容量分开描述)。
机器字长:指CPU一次能处理的二进制数据的位数,通常与CPU的寄存器位数有关。
指令字长:机器指令中二进制代码的总位数。
13. 解释下列英文缩写的中文含义:
CPU:Central Processing Unit,中央处理机(器),是计算机硬件的核心部件,主要由运算器和控制器组成。
PC:Program Counter,程序计数器,其功能是存放当前欲执行指令的地址,并可自动计数形成下一条指令地址。
IR:Instruction Register,指令寄存器,其功能是存放当前正在执行的指令。
CU:Control Unit,控制单元(部件),为控制器的核心部件,其功能是产生微操作命令序列。
ALU:Arithmetic Logic Unit,算术逻辑运算单元,为运算器的核心部件,其功能是进行算术、逻辑运算。
ACC:Accumulator,累加器,是运算器中既能存放运算前的操作数,又能存放运算结果的寄存器。
MQ:Multiplier-Quotient Register,乘商寄存器,乘法运算时存放乘数、除法时存放商的寄存器。
X:此字母没有专指的缩写含义,可以用作任一部件名,在此表示操作数寄存器,即运算器中工作寄存器之一,用来存放操作数;
MAR:Memory Address Register,存储器地址寄存器,在主存中用来存放欲访问的存储单元的地址。
MDR:Memory Data Register,存储器数据缓冲寄存器,在主存中用来存放从某单元读出、或要写入某存储单元的数据。
I/O:Input/Output equipment,输入/输出设备,为输入设备和输出设备的总称,用于计算机内部和外界信息的转换与传送。
MIPS:Million Instruction Per Second,每秒执行百万条指令数,为计算机运算速度指标的一种计量单位。
14. 指令和数据都存于存储器中,计算机如何区分它们?
计算机区分指令和数据有以下2种方法:
1.通过不同的时间段来区分指令和数据,即在取指令阶段访存取出的为指令,在执行指令阶段访存取出的即为数据。
2.通过地址来源区分,由PC提供存储单元地址的取出的是指令,由指令地址码部分提供存储单元地址的取出的是操作数。
15. 什么是指令?什么是程序?
指令是机器完成某种操作的命令,包括操作码和地址码两部分。操作码指出执行什么操作,地址码指出操作数在什么地方。程序是有序指令的集合,用来解决某一特定问题。
16. 总线如何分类?什么是系统总线?系统总线又分为几类,它们各有何作用,是单向的,还是双向的,它们与机器字长、存储字长、存储单元有何关系?
按照连接部件的不同,总线可以分为片内总线、系统总线和通信总线。
系统总线是连接CPU、主存、I/O各部件之间的信息传输线。
系统总线按照传输信息不同又分为地址线、数据线和控制线。
地址线是单向的,其根数越多,寻址空间越大,即CPU能访问的存储单元的个数越多;数据线是双向的,其根数与存储字长相同,是机器字长的整数倍。
17. 为什么要设置总线判优控制?常见的集中式总线控制有几种?各有何特点?哪种方式响应时间最快?哪种方式对电路故障最敏感?
总线判优控制解决多个部件同时申请总线时的使用权分配问题;
常见的集中式总线控制有三种:链式查询、计数器定时查询、独立请求;
特点:链式查询方式连线简单,易于扩充,对电路故障最敏感;计数器定时查询方式优先级设置较灵活,对故障不敏感,连线及控制过程较复杂;独立请求方式速度最快,但硬件器件用量大,连线多,成本较高。
18.设总线的时钟频率为8MHZ,一个总线周期等于一个时钟周期。如果一个总线周期中并行传送16位数据,试问总线的带宽是多少?
由于:f=8MHz,T=1/f=1/8M秒,一个总线周期等于一个时钟周期
所以:总线带宽=16/(1/8M) = 128Mbps= 16MBps(注意单位)
19. 在一个32位的总线系统中,总线的时钟频率为66MHZ,假设总线最短传输周期为4个时钟周期,试计算总线的最大数据传输率。若想提高数据传输率,可采取什么措施?
总线传输周期=4*1/66M秒
总线的最大数据传输率=32/(4/66M)=528Mbps= 66MBps(注意单位)
若想提高数据传输率,可以提高总线时钟频率、增大总线宽度或者减少总线传输周期包含的时钟周期个数。
20. 设一个32位微处理器配有一个16位的外部数据总线,总线的时钟频率为100MHZ,总线传输的最短周期为5个时钟周期,每一个总线传输周期可传送一个字,试计算总线的最大数据传输率。
总线传输周期=5*1/100M秒
总线的最大数据传输率=16/(5/100M)=320Mbps= 40MBps(注意单位)
若想提高数据传输率,可以提高总线时钟频率、增大总线宽度或者减少总线传输周期包含的时钟周期个数。
21.某总线在一个总线周期中可并行传送8个字节数据,总线的时钟频率为200MHZ,1个总线传输周期为5个时钟周期,试计算总线的最大数据传输率。
总线传输周期=5*1/200M秒
因为总线宽度为64位=8B
总线的最大数据传输率=8B/(5/200M)=320Mbps(注意单位)






4-5章:
1一个16K×32位的存储器,其地址线和数据线的总和是____B___。
A、48
B、46
C、36
D、38


2某计算机字长是16位,它的存储容量是64KB,按字编址,它的寻址范围是____C___。
A、64K
B、32KB
C、32K
D、64KB


3某计算机字长是32位,它的存储容量是64KB,按字节编址,它的寻址范围是___D____。
A、16K
B、16KB
C、32K
D、64K


4下列说法中___C____是正确的。
A、随机存储器RAM信息可读可写,断电后仍能保持记忆。
B、只读存储器ROM信息可读可写,断电后仍能保持记忆。
C、静态RAM即使信息读出后,信息仍然存在,不需要再生。
D、动态RAM即使信息读出后,信息仍然存在,不需要再生。


5一个四体并行低位交叉存储器,每个模块的容量是64K×32位,存取周期为200ns,在下列说法正确的是____B___。 
A、在200ns内,存储器能向CPU提供256位二进制信息。
B、在200ns内,存储器能向CPU提供128位二进制信息。
C、在50ns内,每个模块能向CPU提供32位二进制信息。
D、在50ns内,每个模块能向CPU提供64位二进制信息。


6主存和CPU之间增加高速缓冲存储器的目的是____A___。
A、解决CPU和主存之间的速度匹配问题。 
B、扩大主存容量。
C、既扩大主存容量,又提高存取速度。




7采用虚拟存储器的目的是___C____。
A、提高主存的速度
B、扩大辅存的存取空间
C、扩大存储器的寻址空间
D、扩大主存的存取空间


8在下列因素中,与缓存的命中率无关的是___C____。
A、缓存块的大小 
B、缓存的容量
C、主存的存取时间


9设机器字长为32位,存储容量为16MB,若按双字编址,其寻址范围是___B____。
A、8MB
B、2M
C、4M
D、2MB


10下列说法正确的是___C___。
A、缓存与主存统一编址,缓存的地址空间是主存地址空间的一部分。 
B、主存储器只由易失性的随机读/写存储器构成。
C、单体多字存储器主要解决访存速度的问题。


11缓存的地址映射中,若主存中的任一块均可映射到缓存内的任一块的位置上,称做_____B__。
A、直接映射
B、全相联映射
C、组相联映射


12缓存的地址映射中___B____比较多的采用“按内容寻址”的相联存储器来实现。
A、直接映射 
B、全相联映射
C、组相联映射


13下列器件中,存取速度最快的是__C_____。
A、缓存
B、主存
C、寄存器
D、辅存


14主机与设备传送数据时,采用  A   ,主机与设备是串行工作的。 
A、程序查询方式
B、中断方式
C、DMA方式
D、I/O接口方式


15主机与I/O设备传送数据时,采用   C   ,CPU的效率最高。
A、程序查询方式
B、中断方式
C、DMA方式
D、I/O接口方式


16中断发生时,程序计数器内容的保护和更新,是由   A  完成的。
A、硬件自动
B、进栈指令和转移指令
C、访存指令
D、人工方式


17下述   B  种情况会提出中断请求。
A、产生存储周期窃取
B、在键盘输入过程中,每按一次键
C、两数相加结果为零
D、DMA和CPU交替访问


18中断向量地址是  C   。
A、子程序入口地址
B、中断服务程序入口地址
C、中断服务程序入口地址的地址
D、中断请求地址


19在中断响应周期,置“0”允许中断触发器是由  A    完成的。
A、硬件自动
B、程序员在编制中断服务程序时设置的
C、关中断指令
D、人工手动完成


20采用DMA方式传送数据时,每传送一个数据要占用  C    的时间。
A、一个指令周期
B、一个机器周期
C、一个存储周期
D、一个时钟周期


21周期挪用(窃取)的方式常用于   A   中。
A、直接存储器存取方式的输入输出
B、直接程序传送方式的输入输出
C、程序中断方式的输入输出
D、程序查询方式的输入输出


22DMA方式中,周期窃取是窃取一个  A    。
A、存取周期
B、指令周期
C、CPU周期
D总线周期




23I/O编制方式通常可分统一编制和不统一编制,    B  。
A、统一编制就是将I/O地址看做是存储器地址的一部分,可用专门的I/O指令对设备进行访问
B、不统一编制是指I/O地址和存储器地址分开,所以对I/O访问必须有专门的I/O指令
C、统一编制是指I/O地址和存储器地址是分开的,所以可用访存指令实现CPU对设备的访问


24下列叙述中正确的是  C    。
A、程序中断方式和DMA方式中实现数据传送都需要中断请求
B、程序中断方式中有中断请求,DMA方式中没有中断请求
C、程序中断方式和DMA方式中都有中断请求,但目的不同


25I/O的编制方式采用统一编制时,存储单元和I/O设备是靠  B    来区分的。
A、不同的地址线
B、不同的地址码
C、不同的控制线
D、不同的指令


26中断服务程序的最后一条指令是 C     。
A、转移指令
B、出栈指令
C、中断返回指令
D、进栈指令


27DMA方式的接口电路中有程序中断部件,其作用是 C     。
A、实现数据传送
B、向CPU提出总线使用权
C、向CPU提出传输结束
D、向CPU提出传输开始


28鼠标器适合于用  B    方式实现输入操作。
A、程序查询
B、中断
C、DMA
D、都适合


29硬盘适合于用   A   方式实现输入输出操作。
A、DMA方式
B、中断
C、程序查询
D、都不适合


30以下叙述   C   是正确的。
A、外部设备一旦发出中断请求,便立即得到CPU的响应
B、外部设备一旦发出中断请求,CPU应立即响应
C、中断方式一般用于处理随机出现的服务请求


31DMA访问主存时,让CPU处于等待状态,等DMA的一批数据访问结束后,CPU再恢复工作,这种情况称为   A   。
A、停止CPU访问主存
B、周期挪用
C、DMA与CPU交替访问


32DMA访问主存时,向CPU发出请求,获得总线使用权再进行访存,这种情况称为  B 。
A、停止CPU访问主存
B、周期挪用
C、DMA与CPU交替访问


33以下叙述   A   是错误的。
A、一个更高级的中断请求一定可以中断另一个中断处理程序的执行
B、DMA和CPU必须分时使用总线
C、DMA的数据传送不需CPU控制
D、DMA的数据传送结束后需要向CPU发出中断请求


1. 缓存 、 主存 、和 辅存 组成三级存储器系统,分级的目的是 提高访问速度和扩大存储容量  。
2. 半导体静态RAM依据 触发器原理 存储信息,半导体动态RAM依据 电容存储电荷原理 存储信息。
3. 动态半导体存储器的刷新一般有 集中 、 分散 和 异步 三种方式,之所以刷新是因为 存储电荷的电容放电 。
4. 半导体静态RAM进行读写操作时,必须先接受 地址 信号,再接受 片选 和 读写 信号。
5. 欲组成一个64K×16位的存储器,当分别选用32K×8位,16K×1位,1K×4位的三种不同规格的存储芯片时,各需 4 、 64 和 256 片。
6.  用1K×4位的存储芯片组成容量为64K×8位的存储器,共需 128 片,若将这些芯片分装在几块板上,设每块板的容量为16K×8位,则该存储器所需的地址线总位数是 16 ,其中 2 位用于选板, 4 位用于选片, 10 位用于存储芯片的片内地址。
7. 使用高速缓冲存储器是为了解决 CPU和主存的速度匹配问题 ,使用虚拟存储器是为了解决 扩大存储器的容量 问题。
8. 由容量为16KB的缓存和容量为16MB的主存构成的存储器系统的总容为 16MB 。
9. 高位交叉编址的存储器能够提高访存速度的原因是 各个体分别响应不同请求源的请求,实现多体并行 ,其地址的高位部分用于 选择体号 ,地位部分用于 选择存储体内的字 。
10. 低位交叉编址的存储器能够提高访存速度的原因是 不改变每个体的存取周期的前提下,增加存储器的带宽 ,其地址的高位部分用于 选择存储体内的字 ,地位部分用于 选择体号 。
11.I/O的编址方式可分为 不统一编址  和 统一编址 两大类,前者需有独立的I/O指令,后者可通过 访存 指令和设备交换信息。
12. 主机与设备交换信息的控制方式中, 程序查询 方式主机与设备是串行工作的, 中断 方式和 DMA 方式主机与设备是并行工作且 DMA 方式主程序与信息传送是并行进行的。
13. CPU在 指令执行周期结束 时刻采样中断请求信号(在开中断情况下),而在 存储周期结束 时刻采样DMA的总线请求信号。
14. CPU响应中断时要保护现场,包括对 PC内容 和 寄存器内容 的保护,前者通过 硬件自动(或中断隐指令) 实现,后者通过 软件编程 实现。
15. 一次中断处理过程大致可分为 中断请求 、 中断判优 、 中断响应 、 中断服务 和 中断返回 等五个阶段。
16. 在DMA方式中,CPU和DMA控制器通常采用三种方法来分时使用主存,它们是 停止CPU访问主存 、 周期挪用 和 DMA和CPU交替访问主存 。
17. 单重中断与多重中断的主要区别是 单重中断的服务程序在中断返回前才开中断,多重中断的服务程序提前到保护现场之后就开中断。
18. 多重中断的必要条件是 只有级别更高的中断源才能中断级别低的中断源的请求 。
19. 当DMA接口向CPU申请占用总线时,会遇到 CPU此时不访存 、 CPU正在访存 和 CPU和DMA接口同时请求访存 三种情况,只有在 CPU和DMA接口同时请求访存 情况下会出现周期挪用。
20. 中断方式中的中断请求用于 数据传送 ,DMA方式中的中断请求用于 后处理 。
21. 试比较静态RAM和动态RAM。
静态RAM和动态RAM都属于随机存储器,即在程序的执行过程中既可读出信息又可写入信息。但静态RAM靠触发器原理存储信息,只要电源不掉电,信息就不会丢失;动态RAM靠电容存储电荷原理存储信息,即使电源不掉电,由于电容要放电,信息也会丢失,故需要再生。
22. 什么叫刷新?为什么要刷新?说明刷新有几种方法。
刷新:对DRAM定期进行的全部重写过程;
刷新原因:因电容泄漏而引起的DRAM所存信息的衰减需要及时补充,因此安排了定期刷新操作;
常用的刷新方法有三种:集中式、分散式、异步式。
集中式:在最大刷新间隔时间内,集中安排一段时间进行刷新,存在CPU访存死时间。
分散式:在每个读/写周期之后插入一个刷新周期,无CPU访存死时间。
异步式:是集中式和分散式的折衷。
23. 计算机中设置Cache的作用是什么?能否将Cache的容量扩大,最后取代主存,为什么?
计算机中设置Cache的作用是解决CPU和主存速度不匹配问题。
不能将Cache的容量扩大取代主存,原因是:(1)Cache容量越大成本越高,难以满足人们追求低价格的要求;(2)如果取消主存,当CPU访问Cache失败时,需要将辅存的内容调入Cache再由CPU访问,造成CPU等待时间太长,损失更大。
24. 简要说明提高访存速度可采取的措施。
提高访存速度可采取三种措施:
(1)采用高速器件。即采用存储周期短的芯片,可提高访存速度。
(2)采用Cache。CPU最近要使用的信息先调入Cache,而Cache的速度比主存快得多,这样CPU每次只需从Cache中读写信息,从而缩短访存时间,提高访存速度。
(3)调整主存结构。如采用单体多字或采用多体结构存储器。
25. 什么是I/O接口,与端口有何区别?I/O接口如何分类?
I/O接口一般指CPU和I/O设备间的连接部件,而端口是指I/O接口内CPU能够访问的寄存器,端口加上相应的控制逻辑即构成I/O接口。
I/O接口分类方法很多,主要有:
(1)按数据传送方式分有并行接口和串行接口两种;
(2)按数据传送的控制方式分有程序控制接口、程序中断接口、DMA接口三种。
26. 说明中断向量地址和入口地址的区别和联系。中断向量地址和入口地址的区别:
向量地址是硬件电路(向量编码器)产生的中断源的内存地址编号,中断入口地址是中断服务程序首址。
中断向量地址和入口地址的联系:
中断向量地址可理解为中断服务程序入口地址指示器(入口地址的地址),通过它访存可获得中断服务程序入口地址。 (两种方法:在向量地址所指单元内放一条JMP指令;主存中设向量地址表。参考8.4.3)
27. 在什么条件下,I/O设备可以向CPU提出中断请求?
I/O设备向CPU提出中断请求的条件是:I/O接口中的设备完成触发器为1(D=1),中断屏蔽码为0 (MASK=0),且CPU查询中断时,中断请求触发器状态为1(INTR=1)。
28.在什么条件和什么时间,CPU可以响应I/O的中断请求?
CPU响应I/O中断请求的条件和时间是:当中断允许状态为1(EINT=1),且至少有一个中断请求被查到,则在一条指令执行完时,响应中断。
29. 已知收到的汉明码(按配偶原则配置)为1100100、1100111、1100000、1100001,检查上述代码是否出错?第几位出错?
假设接收到的汉明码为:c1’c2’b4’c3’b3’b2’b1’
纠错过程如下:
P1=c1’⊕b4’⊕b3’⊕b1’
P2=c2’⊕b4’⊕b2’⊕b1’
P3=c3’⊕b3’⊕b2’⊕b1’
如果收到的汉明码为1100100,则p3p2p1=011,说明代码有错,第3位(b4’)出错,有效信息为:1100
如果收到的汉明码为1100111,则p3p2p1=111,说明代码有错,第7位(b1’)出错,有效信息为:0110
如果收到的汉明码为1100000,则p3p2p1=110,说明代码有错,第6位(b2’)出错,有效信息为:0010
    如果收到的汉明码为1100001,则p3p2p1=001,说明代码有错,第1位(c1’)出错,有效信息为:0001
30. 假设CPU执行某段程序时共访问Cache命中4800次,访问主存200次,已知Cache的存取周期为30ns,主存的存取周期为150ns,求Cache的命中率以及Cache-主存系统的平均访问时间和效率,试问该系统的性能提高了多少倍?
Cache被访问命中率为:4800/(4800+200)=24/25=96%
则Cache-主存系统的平均访问时间为:ta=0.96*30ns+(1-0.96)*150ns=34.8ns
Cache-主存系统的访问效率为:e=tc/ta*100%=30/34.8*100%=86.2%
性能为原来的150ns/34.8ns=4.31倍,即提高了3.31倍。
31. 设主存容量为256K字,Cache容量为2K字,块长为4。
(1)设计Cache地址格式,Cache中可装入多少块数据?
(2)在直接映射方式下,设计主存地址格式。
(3)在四路组相联映射方式下,设计主存地址格式。
(4)在全相联映射方式下,设计主存地址格式。
   (5)若存储字长为32位,存储器按字节寻址,写出上述三种映射方式下主存的地址格式。
(1)Cache容量为2K字,块长为4,Cache共有2K/4=211/22=29=512块,
Cache字地址9位,字块内地址为2位
  因此,Cache地址格式设计如下:
Cache字块地址(9位) 字块内地址(2位)
(2)主存容量为256K字=218字,主存地址共18位,共分256K/4=216块,
主存字块标记为18-9-2=7位。
        直接映射方式下主存地址格式如下:
主存字块标记(7位) Cache字块地址(9位) 字块内地址(2位)
(3)根据四路组相联的条件,一组内共有4块,得Cache共分为512/4=128=27组,
主存字块标记为18-7-2=9位,主存地址格式设计如下:
主存字块标记(9位) 组地址(7位) 字块内地址(2位)
(4)在全相联映射方式下,主存字块标记为18-2=16位,其地址格式如下:
主存字块标记(16位) 字块内地址(2位)
   (5)若存储字长为32位,存储器按字节寻址,则主存容量为256K*32/4=221B,
Cache容量为2K*32/4=214B,块长为4*32/4=32B=25B,字块内地址为5位,
在直接映射方式下,主存字块标记为21-9-5=7位,主存地址格式为:
主存字块标记(7位) Cache字块地址(9位) 字块内地址(5位)
在四路组相联映射方式下,主存字块标记为21-7-5=9位,主存地址格式为:
主存字块标记(9位) 组地址(7位) 字块内地址(5位)
在全相联映射方式下,主存字块标记为21-5=16位,主存地址格式为:
主存字块标记(16位) 字块内地址(5位)
32. 某8位微型机地址码为18位,若使用4K×4位的RAM芯片组成模块板结构的存储器,试问:
(1)该机所允许的最大主存空间是多少?
(2)若每个模块板为32K×8位,共需几个模块板?
(3)每个模块板内共有几片RAM芯片?
(4)共有多少片RAM?
(5)CPU如何选择各模块板?
(1)该机所允许的最大主存空间是:218 × 8位 = 256K×8位 = 256KB
(2)模块板总数 = 256K×8 / 32K×8 = 8块
(3)板内片数 = 32K×8位 / 4K×4位 = 8×2 = 16片
(4)总片数 = 16片×8 = 128片
(5)CPU通过最高3位地址译码输出选择模板,次高3位地址译码输出选择芯片。地址格式分配如下:
 
33. 设CPU共有16根地址线,8根数据线,并用MREQ(低电平有效)作访存控制信号,R/W作读写命令信号(高电平为读,低电平为写)。
现有下列存储芯片:ROM(2K×8位,4K×4位,8K×8位),RAM(1K×4位,2K×8位,4K×8位),及74138译码器和其他门电路(门电路自定)。试从上述规格中选用合适芯片,画出CPU和存储芯片的连接图。要求:
(1)最小4K地址为系统程序区,4096~16383地址范围为用户程序区。
(2)指出选用的存储芯片类型及数量。
(3)详细画出片选逻辑。
解:(1)地址空间分配图:
        系统程序区(ROM共4KB):0000H-0FFFH
        用户程序区(RAM共12KB):1000H-3FFFH
  (2)选片:ROM:选择4K×4位芯片2片,位并联
              RAM:选择4K×8位芯片3片,字串联(RAM1地址范围为:1000H-1FFFH,RAM2地址范围为2000H-2FFFH, RAM3地址范围为:3000H-3FFFH)
 
   CPU和存储器连接逻辑图及片选逻辑如下图(3)所示:
 
34.设CPU共有16根地址线,8根数据线,并用MREQ(低电平有效)作访存控制信号,R/W作读写命令信号(高电平为读,低电平为写)。现有8片8K×8位的RAM芯片与CPU相连,试回答:
(1)用74138译码器画出CPU与存储芯片的连接图;
(2)写出每片RAM的地址范围;
(3)如果运行时发现不论往哪片RAM写入数据后,以A000H为起始地址的存储芯片都有与其相同的数据,分析故障原因。
   (4)根据(1)的连接图,若出现地址线A13与CPU断线,并搭接到高电平上,将出现什么后果?
解:(1)CPU与存储器芯片连接逻辑图:
 
(2)地址空间分配图:
        RAM0:0000H——1FFFH
        RAM1:2000H——3FFFH
        RAM2:4000H——5FFFH
        RAM3:6000H——7FFFH
        RAM4:8000H——9FFFH
        RAM5:A000H——BFFFH
        RAM6:C000H——DFFFH
        RAM7:E000H——FFFFH
(3)如果运行时发现不论往哪片RAM写入数据后,以A000H为起始地址的存储芯片(RAM5)都有与其相同的数据,则根本的故障原因为:该存储芯片的片选输入端很可能总是处于低电平。假设芯片与译码器本身都是好的,可能的情况有:
1)该片的CS端与WE端错连或短路;
2)该片的CS端与CPU的MREQ端错连或短路;
3)该片的CS端与地线错连或短路。
(4)如果地址线A13与CPU断线,并搭接到高电平上,将会出现A13恒为“1”的情况。此时存储器只能寻址A13=1的地址空间(奇数片),A13=0的另一半地址空间(偶数片)将永远访问不到。若对A13=0的地址空间(偶数片)进行访问,只能错误地访问到A13=1的对应空间(奇数片)中去。








6-8章:
1某机字长8位,采用补码形式,则机器数所能表示的范围是   C   。
A、-127~127
B、-128~128
C、-128~127
D、-127~128


2计算机中所有的信息以二进制表示,其主要理由是   C      。
A、节省器材
B、运算速度快
C、物理器件性能所致
D、表示方法简单


3大部分计算机内的减法是用  C    实现。
A、将被减数加到减数中
B、从被减数中减去减数
C、补数的相加
D、从减数中减去被减数


4 在定点机中执行算术运算时会产生溢出,其原因是 B     。
A、主存容量不够
B、运算结果无法表示
C、操作数地址过大
D、以上都对


5早期的硬件乘法器通常采用加和移位相结合的方法,具体算法是  C   ,但需要有      控制。
A、串行加法和串行移位,触发器
B、并行加法和串行左移,计数器
C、并行加法和串行右移,计数器
D、串行加法和串行右移,触发器


6计算机中表示地址时,采用  D    。
A、原码
B、补码
C、反码
D、无符号数


7浮点数的表示范围和精度取决于   C   。
A、阶码的位数和尾数的机器数形式
B、阶码的机器数形式和尾数的位数
C阶码的位数和尾数的位数
D、阶码的机器数形式和尾数的机器数形式


8在定点运算发生溢出时,应 C     。
A、向左规格化
B、向右规格化
C、发出出错信息
D、舍入处理


9下列说法中  D    是错误的。
A、符号相同的两个数相减是不会产生溢出的
B、符号不同的两个数相加是不会产生溢出的
C、逻辑运算是没有进位或借位的运算
D、浮点乘除运算需进行对阶操作
 
10在浮点数加减法的对阶过程中, D     。
A、将被加(减)数向加(减)数的阶码看齐
B、将加(减)数向被加(减)数的阶码看齐
C、将较大的阶码向较小的阶码看齐
D、将较小的阶码向较大的阶码看齐


11在浮点数中,当数的绝对值太小,以至于小于所能表示的数据时,称为浮点数的   B   。
A、正下溢
B、下溢
C、负溢
D、负上溢


12指令系统中,采用不同寻址方式的目的主要是  B    。
A、可降低指令译码难度
B、缩短指令字长,扩大寻址空间,提高编程灵活性
C、实现程序控制
D、增加寻址方式的多样化


13操作数在寄存器中的寻址方式称为  B   寻址。
A、直接
B、寄存器直接
C、寄存器间接
D、基址


14寄存器间接寻址方式中,操作数在  C   中。
A、通用寄存器
B、堆栈
C、主存单元
D、专用寄存器


15  程序控制类指令的功能是  C    。
A、进行主存和CPU之间的数据传送
B、进行CPU和设备之间的数据传送
C、改变程序执行的顺序
D、实现程序按照顺序方式运行


16运算型指令的寻址和转移型指令的寻址不同点在于   A   。
A、前者取操作数,后者决定程序转移地址
B、前者是短指令,后者是长指令
C、后者是短指令,前者是长指令
D、前者是条件转移,后者是无条件转移


17直接、间接、立即三种寻址方式指令的执行速度,由快至慢的排序是   C   。
A、直接、立即、间接
B、直接、间接、立即
C、立即、直接、间接
D、立即、间接、直接


18设机器字长为16位,存储器按字节编址,对于单字长指令而言,读取该指令后,PC值自动加   B   。
A、1
B、2
C、3
D、4


19转移指令的主要操作是    A  。
A、改变程序计数器PC的值
B、改变地址寄存器的值
C、改变堆栈指针SP的值
D、改变PC和SP的值


20 一地址格式的算术运算指令,另一个操作数隐含在  A   中。
A、累加器
B、通用寄存器
C、操作数寄存器
D、主存


21在指令格式设计中,采用扩展操作码的目的是   C   。
A、增加指令长度
B、增加寻址空间
C、增加指令数量
D、增加访存速度


22为了缩短指令地址中地址码的数位,可采用   B  寻址。
A、立即数
B、寄存器
C、直接
D、间接


23下列说法正确的是   B   。
A、指令周期等于机器周期
B、指令周期大于机器周期
C、指令周期是机器周期的2倍
D、指令周期小于机器周期


24中断标志触发器用于   B   。
A、向CPU发中断请求
B、指示CPU是否进入中断周期
C、开放中断系统
D、关闭中断系统


25允许中断触发器用于  C    。
A、向CPU发中断请求
B、指示正有中断在进行
C、指示CPU是否进入中断周期
D、开放或关闭中断系统


26 CPU响应中断的时间是 A     。
A、一条指令执行结束
B、外部设备提出中断
C、取指周期结束
D、外部设备工作完成


27向量中断是  C    。
A、外部设备提出中断
B、由硬件形成中断服务程序入口地址
C、由硬件形成向量地址,再由向量地址找到中断服务程序入口地址


28程序计数器的位数取决于   A   。
A、存储器的容量
B、机器字长
C、指令字长
D、存储字长


29隐指令是指   C   。
A、操作数隐含在操作码中的指令
B、在一个机器周期里完成全部操作的指令
C、指令系统中没有的指令
D、地址码被隐藏的指令


30指令寄存器的数位取决于  B    。
A、存储器的容量
B、指令字长
C、机器字长
D、存储字长


31CPU响应中断的时间是  C    。
A、中断源提出请求
B、取指周期结束
C、执行周期结束
D、中断周期结束


32在CPU的寄存器中,    B  对用户是完全透明的。
A、程序计数器
B、指令计数器
C、状态计数器
D、累加器


33中断周期前是  B    ,中断周期后是      。
A、取指周期,执行周期
B、执行周期,取指周期
C、间址周期,执行周期
D、取指周期,间址周期


1.采用浮点表示时,若尾数为规格化形式,则浮点数的表示范围取决于 阶码 的位数,精度取决于 尾数 的位数, 数符 确定浮点数的正负。
2.一个浮点数,当其尾数右移时,欲使其值不变,阶码必须 增加 。
3.采用浮点数表示时,最大浮点数的阶符一定为 正 ,尾数的符号一定为 正 。最小浮点数的阶符一定为 正  ,尾数的符号一定为 负 。
4.负数补码算术左移时, 符号 位不变,低位补 0 。负数补码算术右移时, 符号 位不变,高位补 1 。
    5.在定点运算器中,无论采用单符号位还是双符号位,必须有 判断溢出 电路,
他一般用 异或门 来实现。
6.在浮点数中,当数的绝对值太大,以至于大于阶码所能表示的数值时,称为浮点数的 上溢 ,当数的绝对值太小,以至于小于阶码所能表示的数值时,称为浮点数的 下溢 。
7.寄存器直接寻址操作数在 寄存器 中,寄存器间接寻址操作数在 存储器 中,所以执行指令的速度前者比后者 快 。
    8.设形式地址为X,则在直接寻址方式中,操作数的有效地址为 x  ;在间接寻址方式中,操作数的有效地址为(x) ;在相对寻址中,操作数的有效地址为(pc)+x(x可正可负)。
9.指令寻址的基本方式有两种,一种是 顺序 寻址方式,其指令地址由 程序计数器 给出,另一种是 跳跃 寻址方式,其指令地址由 指令本身 给出。
10.条件转移、无条件转移、子程序调用指令,中断返回指令都属于 程序控制(或跳转)类指令,这类指令字的地址码指出的地址不是 操作数 地址,而是 下一条指令的 地址。
11.堆栈寻址需要在CPU内设一个专用的寄存器,称为 堆栈指示器 ,其内容是 栈顶的地址 。
12.只有操作码没有地址码的指令称为 零地址格式 指令。
13.在指令的执行阶段需要两次访问存储器的指令通常采用 存储器间接 寻址
14.指令周期是 CPU从主存取出一条指令并执行完该指令所需的时间 ,最基本的指令周期包括 取址周期 和 执行周期 。
15.根据CPU访存的性质不同,可将CPU的工作周期分为 取址周期 、 间址周期 、 执行周期 、 中断周期 。
16.在CPU中保存当前正在执行的指令的寄存器是 指令寄存器IR ,保存下一条指令地址的寄存器是 程序计数器PC ,保存CPU访存地址的寄存器是存储器地址寄存器MAR 。
17.任何指令周期的第一步必定是 取址 周期。
18.若采用硬件向量法形成中断服务程序的入口地址,则CPU在中断周期完成 保护程序断点 、 硬件关中断 和 向量地址送至pc操作。
19.在中断系统中INTR、INT、EINT三个触发器各有什么作用?
INTR是中断请求触发器,每个中断源都对应一个INTR,当其为1状态时,表示该中断源有请求。INT是中断标记触发器,当其为1时,表示CPU进入中断周期。EINT是允许中断触发器,当其为1时,表示CPU允许响应中断源的请求。
20.中断处理过程中保护现场需完成哪些操作?如何实现?
1、将程序断点保存起来,可用中断隐指令完成。
2、将各通用寄存器及状态寄存器的内容保存起来,可在中断服务程序中用机器指令编程完成。
21.什么是多重中断?实现多重中断有无条件约束?
多重中断是指CPU在处理中断的过程中,又出现了新的中断请求,此时若CPU暂停现行的中断处理,转去处理新的中断请求。
条件:1、必须重新设置“开中断”指令。因为CPU响应中断后,硬件自动将允许中断触发器清0,关闭了中断系统,CPU不再能响应中断。只有在中断服务程序中重新设置一条“开中断”指令,使允许中断触发器为1,开放中断系统,才能再次响应中断请求。
2、只有优先级别更高的中断请求才能中断现行的中断处理程序。
22.已知x=0.110111,y=-0.101110。采用补码一位乘法(Booth算法),计算X×Y。
 
23.设相对寻址的转移指令占两个字节,第一字节是操作码,第二字节是相对位移量,用补码表示。每当CPU从存储器取出一个字节时,即自动完成(PC)+1→PC。
(1)设当前PC值为3000H,试问转以后的目标地址范围是什么?
(2)若当前PC值为2000H,要求转移到201BH,则转移指令第二字节的内容是什么?
(3)若当前PC值为2000H,指令JMP *-9的第二字节的内容是什么?
解:(1)转移范围为-128~127,即80H~7FH。PC当前为3000H,但CPU取出指令后,PC已经修改成3002H,因此最终的转移目标地址范围为3081H~2F82H即3002H+7FH=3081H,3002H-80H=2F82H。
(2)同理:201BH-2002H=19H
(3)JMP *-9要求转移后的目标地址为2000H-09H=1FF7H,但因CPU取出指令后PC值已经修改为了2002H,故第二字节的内容应为-11,写成补码为F5H。
24.一条双字长直接寻址的子程序调用CALL指令,其第一个字为操作码和寻址特征,第二个字为地址码5000H。假设PC当前值为1000H,SP的内容为0100H,栈顶内容为1234H,存储器按字编址,而且进栈操作是先执行(SP)-△→SP,后存入数据。试回答下列几种情况下,PC、SP及栈顶内容各为多少?
(1)CALL指令被读取前。
(2)CALL指令被执行后。
(3)子程序返回后。
解:
(1)2000H、0100H、1234H
(2)5000H、00FFH、1002H
(3)1002H、0100H、1234H
25.设某机配有A、B、C三台设备,其优先顺序按A→B→C降序排列,为改变中断处理次序,它们的中断屏蔽字设置如下。请按下图所示时间轴给出的设备请求中断的时刻,画出CPU执行程序的轨迹。设A、B、C中断服务程序的执行时间均为20μs。(10分) 
 
解:
 
26.现有A、B、C、D四个中断源,其优先级由高向低按A→B→C→D顺序排列。若中断服务程序的执行时间为20µs,请根据下图所示时间轴给出的中断源请求中断的时刻,画出CPU执行程序的轨迹。(10分)
 
解:
 
27.现有A、B、C、D四个中断源,其优先级由高向低按A→B→C→D顺序排列。现要求将中断处理次序改为D→A→C→B。
(1)写出每个中断源对应的屏蔽字。
(2)若每个中断服务程序的执行时间为20µs,请根据下图所示时间轴给出的中断源请求中断的时刻,画出CPU执行程序的轨迹。
 
 


9-10章:


1在下列说法中,  A    是错误的。
A、计算机的速度完全取决于主频。
B、计算机的速度不完全取决于主频。
C、计算机的速度与主频、机器周期内所含时钟周期数及机器的平均指令执行速度有关。


2计算机操作的最小单位时间是   A   。
A、时钟周期
B、指令周期
C、CPU周期
D、机器周期


3计算机主频的周期是  B    。 
A、指令周期
B、时钟周期
C、存取周期
D、机器周期


4一个节拍信号的宽度是指    B  。
A、指令周期
B、时钟周期
C、存取周期
D、机器周期


5由于CPU内部操作的速度较快,而CPU访问一次存储器的时间较长,因此机器周期通常由   C   来决定。
A、指令周期
B、时钟周期
C、存取周期
D、机器周期


6 在取指令操作之后,程序计数器中存放的是  C    。
A、当前指令的地址
B、程序中指令的数量
C、下一条指令的地址
D、程序中指令的长度




7直接寻址的无条件转移指令功能是将指令中的地址码送入  A    。
A、PC
B、地址寄存器
C、累加器
D、数据寄存器


8取指令操作  C    。
A、受上一条指令的操作码控制
B、受当前指令的操作码控制
C、是控制器固有的功能,无需在操作码控制下完成


9以下叙述中错误的是  B    。
A、指令周期的第一个操作是取指令
B、为了进行取指令操作,控制器需要得到相应的指令
C、取指令操作是控制器自动进行的


10在单总线结构的CPU中,连接在总线上的多个部件   B   。
A、某一时刻只有一个可以向总线发送数据,并且只有一个可以从总线接收数据
B、某一时刻只有一个可以向总线发送数据,但可以有多个同时从总线接收数据
C、可以有多个同时向总线发送数据,并且可以有多个同时从总线接收数据


11下列说法中  C    是正确的。
A、加法指令的执行周期一定要访存
B、加法指令的执行周期一定不访存
C、指令的地址码给出存储器地址的加法指令,在执行周期一定访存


12在微程序控制器中,机器指令与微指令的关系是   B   。
A、每一条机器指令由一条微指令来执行
B、每一条机器指令由若干条微指令组成的微程序来执行
C、若干条机器指令组成的程序可由一个微程序来执行


13在微程序控制器中,控制部件向执行部件发出的某个控制信号称为  C    。
A、微指令
B、微操作
C、微命令
D、微信号


14下列叙述中,  B    是正确的。
A、控制器产生的所有控制信号称为微指令
B、微程序控制器比硬连线控制器更加灵活
C、微处理器的程序称为微程序
D、采用微程序控制器的处理器称为微处理器


15 以硬连线方式构成的控制器又称为  A    控制器。
A、组合逻辑型
B、存储逻辑型
C、微程序型
D、微操作型


16将微程序存储在ROM中不加修改的控制器属于  B    。
A、动态微程序控制器
B、静态微程序控制器
C、PLA控制器
D、静态微指令控制器


17微程序放在  B    中。
A、存储器控制器
B、控制存储器
C、主存储器
D、辅存储器


18计算机存放微指令的存储器包含在  C    中。
A、主存储器
B、高速缓冲存储器
C、CPU
D、辅存储器


19下列   A   不属于设计微指令结构时所追求的目标。
A、增大控制存储器的容量
B、提高微程序的执行速度
C、缩短微指令的长度
1.CPU从主存取出一条指令并执行该指令的时间叫做 指令周期 ,它常常用若干个 机器周期 来表示,而后者又包含有若干个 时钟周期 。
2.控制部件通过控制线向执行部件发出各种控制命令,通常把这种控制命令叫做 微命令 ,而执行部件执行此控制命令后所进行的操作叫做 微操作 。
3.程序顺序执行时,后续指令的地址由 PC自动加1 形成,遇到转移指令和调用指令时,后续指令的地址从 指令寄存器的地址码字段 获得。
4.通常控制器的设计可分为 组合逻辑设计 和 微程序设计 两大类,相对应的控制器结构就有 硬连线逻辑 式和 存储逻辑 式,前者采用的核心器件是 门电路 ,后者采用的核心器件是 ROM 。
5.微指令的顺序控制部分用来 指出下一条微指令的地址 。
6.组合逻辑设计控制单元的设计步骤是先 列出操作时间表 ,再 写出最简逻辑表达式 ,最后用 门电路 等器件实现。
7.控制单元的功能是什么?其输入受什么控制?
控制单元的主要功能是发出各种不同的控制信号。其输入受时钟信号、指令寄存器的操作码字段、标志和来自系统总线的控制信号的控制。
8.什么是指令周期、机器周期和时钟周期?三者有何关系?
CPU每取出并执行一条指令所需的全部时间叫指令周期;
机器周期是在同步控制的机器中,执行指令周期中一步相对完整的操作(指令步)所需时间,通常安排机器周期长度等于主存周期;
时钟周期是指计算机主时钟的周期时间,它是计算机运行时最基本的时序单位,对应完成一个微操作所需时间,通常时钟周期等于计算机主频的倒数。
9.能不能说机器的主频越快,机器的速度就越快,为什么?
不能说机器的主频越快,机器的速度就越快。因为机器的速度不仅与主频有关,还与数据通路结构、时序分配方案、ALU运算能力、指令功能强弱等多种因素有关,要看综合效果。
10.设机器A的主频为8MHz,机器周期含4个时钟周期,且该机的平均指令执行速度是0.4MIPS,试求该机的平均指令周期和机器周期,每个指令周期中含几个机器周期?如果机器B的主频为12MHz,且机器周期也含4个时钟周期,试问B机的平均指令执行速度为多少MIPS?
解:先通过A机的平均指令执行速度求出其平均指令周期,再通过主频求出时钟周期,然后进一步求出机器周期。B机参数的算法与A机类似。计算如下:
A机平均指令周期=1/0.4MIPS=2.5µs
A机时钟周期=1/8MHz=125ns
A机机器周期=125ns×4=500ns=0.5µs
A机每个指令周期中含机器周期个数=2.5µs÷0.5µs=5个
B机时钟周期 =1/12MHz83ns
B机机器周期 =83ns×4=332ns
设B机每个指令周期也含5个机器周期,则:
B机平均指令周期=332ns×5=1.66µs
B机平均指令执行速度=1/1.66µs=0.6MIPS    
结论:主频的提高有利于机器执行速度的提高。
11.设某机主频为8MHz,每个机器周期平均含2个时钟周期,每条指令平均有4个机器周期,试问该机的平均指令执行速度为多少MIPS?若机器主频不变,但每个机器周期平均含4个时钟周期,每条指令平均有4个机器周期,则该机的平均指令执行速度又是多少MIPS?由此可得出什么结论?
解:先通过主频求出时钟周期,再求出机器周期和平均指令周期,最后通过平均指令周期的倒数求出平均指令执行速度。计算如下:
时钟周期=1/8MHz=0.125×10-6s
机器周期=0.125×10-6s×2=0.25×10-6s
平均指令周期=0.25×10-6s×4=10-6s
平均指令执行速度=1/10-6s=1MIPS
当参数改变后:机器周期= 0.125×10-6s×4=0.5×10-6s
平均指令周期=0.5×10-6s×4=2×10-6s
平均指令执行速度=1/(2×10-6s) =0.5MIPS
结论:两个主频相同的机器,执行速度不一定一样。
12.某CPU的主频为10MHz,若已知每个机器周期平均包含4个时钟周期,该机的平均指令执行速度为1MIPS,试求该机的平均指令周期及每个指令周期含几个机器周期?若改用时钟周期为0.4µs的CPU芯片,则计算机的平均指令执行速度为多少MIPS?若要得到平均每秒80万次的指令执行速度,则应采用主频为多少的CPU芯片?
解:先通过主频求出时钟周期时间,再进一步求出机器周期和平均指令周期。
   时钟周期=1/10MHz=0.1×10-6s
机器周期=0.1×10-6s×4=0.4×10-6s
   平均指令周期=1/1MIPS=10-6s
   每个指令周期所含机器周期个数=10-6s /0.4×10-6s =2.5个
   当芯片改变后:机器周期=0.4µs×4=1.6µs
平均指令周期=1.6µs×2.5=4µs
   平均指令执行速度=1/4µs=0.25MIPS
   若要得到平均每秒80万次的指令执行速度,则:
平均指令周期=1/0.8MIPS=1.25×10-6=1.25µs
机器周期=1.25µs÷2.5=0.5µs
时钟周期= 0.5µs÷4=0.125µs
CPU主频=1/0.125µs=8MHz
13.存数指令STA X的功能是将累加器(ACC)的内容存于主存的X地址单元中, CPU由PC、IR、MAR、MDR、ACC、CU组成,请写出存数指令STA X在取指周期和执行周期微操作的节拍安排。
取指周期:T0:PC→MAR,1→R                   
T1:M(MAR)→MDR,(PC)+1→PC     
T2:MDR→IR,OP(IR)→ID                  
执行周期:T0:Ad(IR)→MAR,1→W                     
T1:AC→MDR                                    
                                            T2:MDR→M(MAR)
 
14.取数指令LDA X的功能是将主存的X地址单元中的内容取到累加器(ACC)中, CPU由PC、IR、MAR、MDR、ACC、CU组成,请写出取数指令LDA X在取指周期和执行周期微操作的节拍安排。
取指周期:T0:PC→MAR,1→R                   
T1:M(MAR)→MDR,(PC)+1→PC     
T2:MDR→IR,OP(IR)→ID                  
执行周期:T0:Ad(IR)→MAR,1→R                      
T1:M(MAR)→MDR                                
                                             T2:MDR→ACC              
      
15.假设机器采用同步控制,每个机器周期包含3个节拍。请写出加法指令ADD X在取指周期微操作的节拍安排和执行周期微操作的节拍安排。
取指周期:T0:PC→MAR,1→R                          
T1:M(MAR)→MDR,(PC)+1→PC   
T2:MDR→IR,OP(IR)→ID                
执行周期:T0:Ad(IR)→MAR,1→R                      
T1:M(MAR)→MDR                                
T2:(AC)+(MDR)→ACC    




猜你喜欢

转载自blog.csdn.net/qq_37788081/article/details/80636309