秋招手撕代码-刷题日记(一)7.28

verilog(分频器)

原理:

1、偶分频比较简单,对于N(N为偶数)分频,只需计数到N/2-1,然后时钟翻转、计数清零,如此循环就可以得到N(偶)分频。

module定义两个输入变量,一个输出变量。再定义一个计数变量,一个翻转变量。定义参量N

先写计数器,if else  当rst为有效或者计数到N-1,计数变量清零,否则计数变量+1.

再写分频器,当rst有效时,翻转变量清零,当满足计数为N或者N/2-1,翻转。

代码:

2、奇数分频:写两个计数器和分频器(分别是上升沿计数、下降沿计数,上升沿分频、下降沿分频),分频器在(N-1)/2和N-1的时候翻转,最后再将两个分频器

猜你喜欢

转载自blog.csdn.net/m0_54437879/article/details/126027523