数字IC手撕代码---百题斩

  前言:

        本篇导览目录,用来索引笔者写的其他手撕代码文章        

        本专栏旨在记录高频笔面试手撕代码题,以备数字前端秋招,本专栏所有文章提供原理分析、代码及波形,所有代码均经过本人验证。

目录如下:

1.数字IC手撕代码-分频器(任意偶数分频)

2.数字IC手撕代码-分频器(任意奇数分频)

3.数字IC手撕代码-分频器(任意小数分频)

4.数字IC手撕代码-异步复位同步释放

5.数字IC手撕代码-边沿检测(上升沿、下降沿、双边沿)

6.数字IC手撕代码-序列检测(状态机写法)

7.数字IC手撕代码-序列检测(移位寄存器写法)

扫描二维码关注公众号,回复: 15895875 查看本文章

8.数字IC手撕代码-半加器、全加器

9.数字IC手撕代码-串转并、并转串

10.数字IC手撕代码-数据位宽转换器(宽-窄,窄-宽转换)

11.数字IC手撕代码-有限状态机FSM-饮料机

12.数字IC手撕代码-握手信号(READY-VALID)

13.数字IC手撕代码-流水握手(利用握手解决流水线断流、反压问题)

14.数字IC手撕代码-泰凌微笔试真题

15.数字IC手撕代码-平头哥技术终面手撕真题(模三器)

16.数字IC手撕代码-兆易创新笔试真题

17.数字IC手撕代码-乐鑫科技笔试真题(4倍频)

18.数字IC手撕代码-双端口RAM(dual-port-RAM)

19.数字IC手撕代码-同步FIFO

20.数字IC手撕代码-脉冲密度调制

21.数字IC手撕代码-交织器/矩阵转置

22.数字IC手撕代码-数据流最大值

23.数字IC手撕代码-数据流pipeline加和

24.数字IC手撕代码-串并转换控制

25.APB总线详解及手撕代码

26.数字IC手撕代码--乐鑫科技(次小值与次小值出现的次数)

27.数字IC手撕代码--小米科技(除法器设计)

28.数字IC手撕代码--低功耗设计 Clock Gating

29.数字IC手撕代码--联发科(总线访问仲裁)

30.数字IC手撕代码--芯源(三分频)

31.任意深度异步FIFO设计

32.固定优先级仲裁器设计

33.round robin arbiter 轮询仲裁器设计

34.不用循环和分治,仅用“按位”操作,找到序列第一个1和最后一个1

35.任意时钟频率的单bit跨时钟域设计(海思巴龙)

36.斐波那契数列verilog实现(rsxk)

37.扰码器原理详解及verilog实现

38.找到序列最高位的1和最高位的0并输出位置(rsxk)

39.用移位寄存器实现同步FIFO,带空满判断(rsxk)

... 还在更新

祝大家秋招顺利,欢迎指正,有需要写的手撕也可以发个我帮写

猜你喜欢

转载自blog.csdn.net/qq_57502075/article/details/127072341
今日推荐