VHDL实现打地鼠游戏设计

基于VHDL打地鼠游戏设计:使用VHDL语言设计

系统结构图:

1. 总的系统框图

2. 各个模块的功能描述

A.分数判断模块

判断选手得分或失分主要是由一个比较器完成的,将系统传给LED灯的信号与选手输入的信号作比较,相同则加分,否则扣分,这两个信号分别传给计分模块,从而完成系统判定得分的工作。 

B . 系统时钟模块

将内部2MHz的时钟分频为1kHz、及游戏时钟,供以后程序适使用

C.键盘电路

主要通过产生行扫描,来识别用户的

猜你喜欢

转载自blog.csdn.net/QQ_778132974/article/details/111997618