第14章:验证实践版OpenMIPS处理器

本章将上一章实现的小型SOPC下载到实际的FPGA芯片,并运行测试程序。
开发平台DE2,Altera公司的CycloneII系列,QuartusII软件

14.1 DE2平台简介

在这里插入图片描述

14.2 测试需要的硬件连接

在这里插入图片描述

14.3 QuartusII工程建立

14.4 测试步骤说明

在这里插入图片描述

14.5 测试一:GPIO实验

14.5.1 测试内容

OpenMIPS处理器控制GPIO的输出
在这里插入图片描述

14.5.2 测试程序

14.5.3 编译测试程序

14.5.4 将测试程序写入Flash芯片

14.5.5 下载小型SOPC到DE2

14.5.6 测试效果

14.6 测试二:UART实验

14.6.1 测试内容

OpenMIPS处理器通过UART输出数据给PC,输出数据从0x01依次递增至0xFF,然后再从0x00重新开始。

14.6.2 测试程序

14.6.3 测试效果

14.7 测试三:模拟操作系统的加载过程

14.7.1 测试内容

本测试是一个稍微综合的测试,用来模拟操作系统的加载过程,其中需要编写两个程序:BootLoader、SimpleOS。
在这里插入图片描述
OpenMIPS启动后,首先执行Bootloader,BootLoader读取存放在Flash的0x300处的长度信息,根据该信息,将Flash从0x304处开始的length个字,一次复制到SDRAM从0x0处开始的空间,然后将控制权交给SImpleOS。

14.7.2 测试程序BootLoader

14.7.3 测试程序SimpleOS

14.7.4 将测试程序写入Flash

14.7.5 测试效果

猜你喜欢

转载自blog.csdn.net/tanfuz/article/details/113829885
今日推荐