超过飞飞系列-ZYNQ之FPGA学习2.1Verilog语法

一、VHDL、Verilog、C语言区别
VHDL:硬件描述语言,美军开发,相对难、不直观,需要专业培训,欧洲发展较好。
Verilog:硬件描述语言,设计群体广泛,资源成熟,中国多采用。并行处理运行。
C:软件语言,经过C的单片机程序需取码、译码、执行,是串行的。

二、基础知识
2.1 Verilog的逻辑值
逻辑0:表示低电平,GND。
逻辑1:表示高电平,VCC。
逻辑X:表示未知,可高可低。
逻辑Z:表示高阻态,外部无激励信号是一个悬空状态。

2.2 Verilog的标识符
的标识符可以是任意一组字母、数字、$和_(下划线)符号的组合,但标识符的第一个字符必须是字母或者下划线。另外,标识符是区分大小写的。

规范建议
以下是一些书写规范的要求:
1、用有意义的有效的名字如sum、cpu_addr等
2、用下划线区分词语组合,如cpu_addr
3、采用一些前缀或后缀,比如:时钟采用clk前缀:clk_50m,clk_cpu;低电平采用_n后缀:enable_n;
4、统一缩写,如全局复位信号rst
5、同一信号在不同层次保持一致性,如同一时钟信号必须在各模块保持一致
6、自定义的标识符不能与保留字(关键词)同名
7、参数统一采用大写,如定义参数使用SIZE

2.3 Verilog的数字进制格式
1.二进制:4’b0101
2.十进制:4’d2
3.十六进制:4’ha

2.4 Verilog的数据类型
1.寄存器类型。例:reg、integer、real
2.线网类型。例:wire、tri
3.参数类型。例:parameter

2.5 Verilog的运算符
1.算数运算符。例:+、-、/、*、%
2.关系运算符。例:>、<、>=、<=、==、!=
3.逻辑运算符。例:!、%%、||
4.条件运算符。例:a?b:c
5.位运算符。例:~、&、|、^
6.移位运算符。例:<<、>>
7.拼接运算符。例:{a,b}
8.运算符的优先级。
三、常用关键字
关键字
四、高级知识点
4.1阻塞赋值:=;非阻塞赋值:<=
4.2.assign和always区别
1.assign:使用时不能带时钟。
2.always:可以带也可以不带时钟。

原创文章 26 获赞 4 访问量 806

猜你喜欢

转载自blog.csdn.net/qq_42280105/article/details/105665653
今日推荐